OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [core.vhd] - Blame information for rev 31

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 earlz
--Core module. 
2
--This module is basically connects everything and decodes the opcodes.
3
--The only thing above this is toplevel.vhd which actually sets the pinout for the FPGA
4
 
5
 
6
library IEEE;
7
use IEEE.STD_LOGIC_1164.ALL;
8
use IEEE.NUMERIC_STD.ALL;
9
use work.tinycpu.all;
10
 
11
entity core is
12
  port(
13
    --memory interface 
14
    MemAddr: out std_logic_vector(15 downto 0); --memory address (in bytes)
15
    MemWW: out std_logic; --memory writeword
16
    MemWE: out std_logic; --memory writeenable
17 20 earlz
    MemIn: in std_logic_vector(15 downto 0);
18
    MemOut: out std_logic_vector(15 downto 0);
19 19 earlz
    --general interface
20
    Clock: in std_logic;
21
    Reset: in std_logic; --When this is high, CPU will reset within 1 clock cycles. 
22
    --Enable: in std_logic; --When this is high, the CPU executes as normal, when low the CPU stops at the next clock cycle(maintaining all state)
23
    Hold: in std_logic; --when high, CPU pauses execution and places Memory interfaces into high impendance state so the memory can be used by other components
24
    HoldAck: out std_logic; --when high, CPU acknowledged hold and buses are in high Z
25
    --todo: port interface
26
 
27
    --debug ports:
28
    DebugIR: out std_logic_vector(15 downto 0); --current instruction
29 20 earlz
    DebugIP: out std_logic_vector(7 downto 0); --current IP
30
    DebugCS: out std_logic_vector(7 downto 0); --current code segment
31 19 earlz
    DebugTR: out std_logic; --current value of TR
32 20 earlz
    DebugR0: out std_logic_vector(7 downto 0)
33 19 earlz
   );
34
end core;
35
 
36
architecture Behavioral of core is
37
  component fetch is
38
    port(
39
      Enable: in std_logic;
40
      AddressIn: in std_logic_vector(15 downto 0);
41
      Clock: in std_logic;
42
      DataIn: in std_logic_vector(15 downto 0); --interface from memory
43
      IROut: out std_logic_vector(15 downto 0);
44
      AddressOut: out std_logic_vector(15 downto 0) --interface to memory
45
    );
46
  end component;
47
  component alu is
48
    port(
49
      Op: in std_logic_vector(4 downto 0);
50
      DataIn1: in std_logic_vector(7 downto 0);
51
      DataIn2: in std_logic_vector(7 downto 0);
52
      DataOut: out std_logic_vector(7 downto 0);
53
      TR: out std_logic
54
    );
55
  end component;
56
  component carryover is
57
    port(
58
      EnableCarry: in std_logic; --When disabled, SegmentIn goes to SegmentOut
59
      DataIn: in std_logic_vector(7 downto 0);
60
      SegmentIn: in std_logic_vector(7 downto 0);
61
      Addend: in std_logic_vector(7 downto 0); --How much to increase DataIn by (as a signed number). Believe it or not, that's the actual word for what we need.
62
      DataOut: out std_logic_vector(7 downto 0);
63 21 earlz
      SegmentOut: out std_logic_vector(7 downto 0);
64
      Clock: in std_logic
65 19 earlz
    );
66
  end component;
67
  component registerfile is
68
  port(
69
    WriteEnable: in regwritetype;
70
    DataIn: in regdatatype;
71
    Clock: in std_logic;
72
    DataOut: out regdatatype
73
  );
74
  end component;
75
 
76
  constant REGIP: integer := 7;
77
  constant REGSP: integer := 6;
78
  constant REGSS: integer := 15;
79
  constant REGES: integer := 14;
80
  constant REGDS: integer := 13;
81
  constant REGCS: integer := 12;
82
 
83
  type ProcessorState is (
84
    ResetProcessor,
85 21 earlz
    FirstFetch1, --the fetcher needs two clock cycles to catch up
86
    FirstFetch2,
87 23 earlz
    Firstfetch3,
88 19 earlz
    Execute,
89
    WaitForMemory,
90 30 earlz
    HoldMemory,
91
    WaitForAlu -- wait for settling is needed when using the ALU
92 19 earlz
  );
93 20 earlz
  signal state: ProcessorState;
94
  signal HeldState: ProcessorState; --state the processor was in when HOLD was activated
95 19 earlz
 
96
  --carryout signals
97
  signal CarryCS: std_logic;
98
  signal CarrySS: std_logic;
99
  signal IPAddend: std_logic_vector(7 downto 0);
100
  signal SPAddend: std_logic_vector(7 downto 0);
101
  signal IPCarryOut: std_logic_vector(7 downto 0);
102
  signal CSCarryOut: std_logic_vector(7 downto 0);
103 25 earlz
  signal SPCarryOut: std_logic_vector(7 downto 0);
104
  signal SSCarryOut: std_logic_vector(7 downto 0);
105
 
106 19 earlz
  --register signals
107
  signal regWE:regwritetype;
108
  signal regIn: regdatatype;
109
  signal regOut: regdatatype;
110
  --fetch signals
111
  signal fetchEN: std_logic;
112
  signal IR: std_logic_vector(15 downto 0);
113 25 earlz
  --alu signals
114
  signal AluOp: std_logic_vector(4 downto 0);
115
  signal AluIn1: std_logic_vector(7 downto 0);
116
  signal AluIn2: std_logic_vector(7 downto 0);
117
  signal AluOut: std_logic_vector(7 downto 0);
118 31 earlz
  signal AluTR: std_logic;
119 25 earlz
  signal TR: std_logic;
120 31 earlz
  signal TRData: std_logic;
121
  signal UseAluTR: std_logic;
122 19 earlz
 
123
  --control signals
124
  signal InReset: std_logic;
125 25 earlz
  signal OpAddress: std_logic_vector(15 downto 0); --memory address to use for operation of an instruction
126
  signal OpData: std_logic_vector(15 downto 0); --data to write or will load to here
127
  signal OpWW: std_logic;
128
  signal OpWE: std_logic;
129 19 earlz
 
130
  --opcode shortcut signals
131
  signal opmain: std_logic_vector(3 downto 0);
132
  signal opimmd: std_logic_vector(7 downto 0);
133
  signal opcond1: std_logic; --first conditional bit
134
  signal opcond2: std_logic; --second conditional bit
135
  signal opreg1: std_logic_vector(2 downto 0);
136
  signal opreg2: std_logic_vector(2 downto 0);
137
  signal opreg3: std_logic_vector(2 downto 0);
138
  signal opseges: std_logic; --use ES segment
139 25 earlz
 
140
  signal regbank: std_logic;
141 19 earlz
 
142 20 earlz
  signal fetcheraddress: std_logic_vector(15 downto 0);
143 25 earlz
 
144 27 earlz
 
145
  signal bankreg1: std_logic_vector(3 downto 0); --these signals have register bank stuff baked in
146
  signal bankreg2: std_logic_vector(3 downto 0);
147
  signal bankreg3: std_logic_vector(3 downto 0);
148 25 earlz
  signal FetchMemAddr: std_logic_vector(15 downto 0);
149
 
150 29 earlz
  signal UsuallySS: std_logic_vector(3 downto 0);
151
  signal UsuallyDS: std_logic_vector(3 downto 0);
152 30 earlz
  signal aluregisterout: std_logic_vector(3 downto 0);
153 19 earlz
begin
154 20 earlz
  reg: registerfile port map(
155 19 earlz
    WriteEnable => regWE,
156
    DataIn => regIn,
157
    Clock => Clock,
158
    DataOut => regOut
159
  );
160 20 earlz
  carryovercs: carryover port map(
161 19 earlz
    EnableCarry => CarryCS,
162 28 earlz
    DataIn => regOut(REGIP),
163
    SegmentIn => regOut(REGCS),
164 20 earlz
    Addend => IPAddend,
165
    DataOut => IPCarryOut,
166 21 earlz
    SegmentOut => CSCarryOut,
167
    Clock => Clock
168 19 earlz
  );
169 25 earlz
  carryoverss: carryover port map(
170
    EnableCarry => CarrySS,
171 28 earlz
    DataIn => regOut(REGSP),
172
    SegmentIn => RegOut(REGSS),
173 25 earlz
    Addend => SPAddend,
174
    DataOut => SPCarryOut,
175
    SegmentOut => SSCarryOut,
176
    Clock => Clock
177
  );
178 20 earlz
  fetcher: fetch port map(
179 19 earlz
    Enable => fetchEN,
180 20 earlz
    AddressIn => fetcheraddress,
181 19 earlz
    Clock => Clock,
182
    DataIn => MemIn,
183
    IROut => IR,
184 25 earlz
    AddressOut => FetchMemAddr
185 19 earlz
  );
186 25 earlz
  cpualu: alu port map(
187
    Op => AluOp,
188
    DataIn1 => AluIn1,
189
    DataIn2 => AluIn2,
190
    DataOut => AluOut,
191 31 earlz
    TR => AluTR
192 25 earlz
  );
193 21 earlz
  fetcheraddress <= regIn(REGCS) & regIn(REGIP);
194 25 earlz
  MemAddr <= OpAddress when state=WaitForMemory else FetchMemAddr;
195 28 earlz
  MemOut <= OpData when (state=WaitForMemory and OpWE='1') else "ZZZZZZZZZZZZZZZZ" when state=HoldMemory else x"0000";
196
  MemWE <= OpWE when state=WaitForMemory else 'Z' when state=HoldMemory else '0';
197
  MemWW <= OpWW when state=WaitForMemory else 'Z' when state=HoldMEmory else '0';
198 25 earlz
  OpData <= MemIn when (state=WaitForMemory and OpWE='0') else "ZZZZZZZZZZZZZZZZ";
199 20 earlz
  --opcode shortcuts
200 19 earlz
  opmain <= IR(15 downto 12);
201
  opimmd <= IR(7 downto 0);
202
  opcond1 <= IR(8);
203
  opcond2 <= IR(7);
204
  opreg1 <= IR(11 downto 9);
205
  opreg3 <= IR(2 downto 0);
206 27 earlz
  opreg2 <= IR(6 downto 4);
207
  opseges <= IR(3);
208 20 earlz
  --debug ports
209
  DebugCS <= regOut(REGCS);
210
  DebugIP <= regOut(REGIP);
211
  DebugR0 <= regOut(0);
212
  DebugIR <= IR;
213 25 earlz
  DebugTR <= TR;
214
  --register addresses with registerbank baked in
215 27 earlz
  bankreg1 <= ('1' & opreg1) when (regbank='1' and opreg1(2)='0') else '0' & opreg1;
216
  bankreg2 <= ('1' & opreg2) when (regbank='1' and opreg2(2)='0') else '0' & opreg2;
217
  bankreg3 <= ('1' & opreg3) when (regbank='1' and opreg3(2)='0') else '0' & opreg3;
218 29 earlz
  --UsuallySegment shortcuts (only used when not an immediate
219
  UsuallyDS <= "1101" when opseges='0' else "1110";
220
  UsuallySS <= "1111" when opseges='0' else "1110";
221 31 earlz
  TR <= TRData when UseAluTR='0' else AluTR;
222 19 earlz
 
223 29 earlz
  foo: process(Clock, Hold, state, IR, inreset, reset, regin, regout, IPCarryOut, CSCarryOut)
224 19 earlz
  begin
225
    if rising_edge(Clock) then
226 21 earlz
 
227
    --states
228 20 earlz
      if reset='1' and hold='0' then
229 19 earlz
        InReset <= '1';
230
        state <= ResetProcessor;
231 20 earlz
        HoldAck <= '0';
232 21 earlz
        CarryCS <= '1';
233
        CarrySS <= '0';
234
        regWE <= (others => '1');
235
        regIn <= (others => "00000000");
236
        regIn(REGCS) <= x"01";
237
        IPAddend <= x"00";
238 25 earlz
        SPAddend <= x"00";
239
        AluOp <= "10001"; --reset TR in ALU
240
        regbank <= '0';
241 21 earlz
        fetchEN <= '1';
242 25 earlz
        OpData <= "ZZZZZZZZZZZZZZZZ";
243
        OpAddress <= x"0000";
244
        OpWE <= '0';
245
        opWW <= '0';
246 31 earlz
        TRData <= '0';
247
        UseAluTR <= '0';
248 19 earlz
        --finish up
249
      elsif InReset='1' and reset='0' and Hold='0' then --reset is done, start executing
250
        InReset <= '0';
251 21 earlz
        fetchEN <= '1';
252
        state <= FirstFetch1;
253 19 earlz
      elsif Hold = '1' and (state=HoldMemory or state=Execute or state=ResetProcessor) then
254 20 earlz
        --do not hold immediately if waiting on memory or if waiting on the first fetch of an instruction after reset
255 19 earlz
        state <= HoldMemory;
256
        HoldAck <= '1';
257 21 earlz
        FetchEN <= '0';
258 19 earlz
      elsif Hold='0' and state=HoldMemory then
259 20 earlz
        if reset='1' or InReset='1' then
260
          state <= ResetProcessor;
261
        else
262
          state <= Execute;
263
        end if;
264 21 earlz
        FetchEN <= '1';
265
      elsif state=FirstFetch1 then --we have to let IR get loaded before we can execute.
266 20 earlz
        --regWE <= (others => '0');
267 21 earlz
        fetchEN <= '1'; --already enabled, but anyway
268 23 earlz
        --regWE <= (others => '0');
269 21 earlz
        IPAddend <= x"02";
270
        SPAddend <= x"00"; --no addend unless pushing or popping
271
        RegWE <= (others => '0');
272
        regIn(REGIP) <= IPCarryOut;
273
        regWE(REGIP) <= '1';
274
        regWE(REGCS) <= '1';
275
        regIn(REGCS) <= CSCarryOut;
276 23 earlz
        state <= Execute;
277
      elsif state=FirstFetch2 then
278
        state <= FirstFetch3;
279
 
280
      elsif state=FirstFetch3 then
281
        state <= Execute;
282 25 earlz
      elsif state=WaitForMemory then
283
        state <= Execute;
284
        FetchEn <= '1';
285
        IpAddend <= x"02";
286 29 earlz
        SpAddend <= x"00";
287 30 earlz
      elsif state=WaitForAlu then
288
        state <= Execute;
289
        regIn(to_integer(unsigned(AluRegisterOut))) <= AluOut;
290
        regWE(to_integer(unsigned(AluRegisterOut))) <= '1';
291
        FetchEN <= '1';
292
        IPAddend <= x"02";
293
        SPAddend <= x"00";
294 19 earlz
      end if;
295 21 earlz
 
296
 
297 19 earlz
      if state=Execute then
298 20 earlz
        fetchEN <= '1';
299 19 earlz
        --reset to "usual"
300 20 earlz
        IPAddend <= x"02";
301
        SPAddend <= x"00"; --no addend unless pushing or popping
302 19 earlz
        RegWE <= (others => '0');
303 21 earlz
        regIn(REGIP) <= IPCarryOut;
304
        regWE(REGIP) <= '1';
305
        regWE(REGCS) <= '1';
306
        regIn(REGCS) <= CSCarryOut;
307 25 earlz
        regIn(REGSP) <= SPCarryOut; --with addend being 0, it'll just write SP to SP so it won't change, but this makes code easier for me
308
        regIn(REGSS) <= SSCarryOut;
309
        regWE(REGSP) <= '1';
310
        regWE(REGSS) <= '1';
311
        OpAddress <= "ZZZZZZZZZZZZZZZZ";
312 31 earlz
        if UseAluTR='1' then
313
          UseAluTR<='0';
314
        end if;
315 19 earlz
        --actual decoding
316 25 earlz
        if opcond1='0' or (opcond1='1' and TR='1') then
317
          case opmain is
318
            when "0000" => --mov reg,imm
319 27 earlz
              regIn(to_integer(unsigned(bankreg1))) <= opimmd;
320
              regWE(to_integer(unsigned(bankreg1))) <= '1';
321 25 earlz
            when "0001" => --mov [reg],imm
322 27 earlz
              OpAddress <= regOut(REGDS) & regOut(to_integer(unsigned(bankreg1)));
323 25 earlz
              OpWE <= '1';
324
              OpData <= x"00" & opimmd;
325
              OpWW <= '0';
326
              state <= WaitForMemory;
327
              IPAddend <= x"00"; --disable all this because we have to wait a cycle to write memory
328
              FetchEN <= '0';
329 27 earlz
            when "0011" => --group 3 comparisons
330 31 earlz
              TRData <= AluTR;
331
              UseAluTR <= '1';
332 27 earlz
              AluOp <= "01" & opreg3; --nothing hard here, ALU does it all for us
333 29 earlz
              AluIn1 <= regOut(to_integer(unsigned(bankreg1)));
334
              AluIn2 <= regOut(to_integer(unsigned(bankreg2)));
335 27 earlz
            when "0100" => --group 4 bitwise operations
336 30 earlz
              --setup wait state
337
              State <= WaitForAlu;
338
              FetchEN <= '0';
339
              IPAddend <= x"00";
340 27 earlz
              AluOp <= "00" & opreg3; --nothing hard here, ALU does it all for us
341 29 earlz
              AluIn1 <= regOut(to_integer(unsigned(bankreg1)));
342
              AluIn2 <= regOut(to_integer(unsigned(bankreg2)));
343 30 earlz
              AluRegisterOut <= bankreg1;
344
              --regIn(to_integer(unsigned(bankreg1))) <= AluOut;
345
              --regWE(to_integer(unsigned(bankreg1))) <= '1';
346 29 earlz
           when "0101" => --group 5
347
              case opreg3 is
348
                when "000" => --subgroup 5-0
349
                  case opreg2 is
350
                    when "000" => --push reg
351
                      SpAddend <= x"02"; --set SP to increment
352
                      OpAddress <= regOut(to_integer(unsigned(UsuallySS))) & regOut(REGSP);
353
                      OpWE <= '1';
354
                      OpData <= x"00" & regOut(to_integer(unsigned(bankreg1)));
355
                      OpWW <= '1';
356
                      state <= WaitForMemory;
357
                      IPAddend <= x"00";
358
                      FetchEN <= '0';
359
                    when "001" => --pop reg
360
                      SPAddend <= x"FE"; --set SP to decrement
361
                      OpAddress <= regOut(to_integer(unsigned(UsuallySS))) & regOut(REGSP);
362
                      OpWE <= '0';
363
                      regIn(to_integer(unsigned(bankreg1))) <= OpData(7 downto 0);
364
                      OpWW <= '0';
365
                      state <= WaitForMemory;
366
                      IPAddend <= x"00";
367
                      FetchEN <= '0';
368
                    when others =>
369
                      --synthesis off
370
                      report "Not implemented subgroup 5-0" severity error;
371
                      --synthesis on
372
                  end case;
373
                when others =>
374
                  --synthesis off
375
                  report "Not implemented group 5" severity error;
376
                  --synthesis on
377
              end case;
378 25 earlz
            when others =>
379
              --synthesis off
380
              report "Not implemented" severity error;
381
              --synthesis on
382
          end case;
383
        end if;
384 19 earlz
      end if;
385 21 earlz
 
386 29 earlz
    end if;
387 21 earlz
 
388
 
389 29 earlz
 
390 19 earlz
  end process;
391
 
392
 
393
 
394
 
395
 
396
 
397
 
398
 
399
 
400
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.