OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Blame information for rev 16

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 earlz
--registerfile module
2 12 earlz
--16 registers, read/write port for all registers. 
3 6 earlz
--8 bit registers
4
 
5 2 earlz
library IEEE;
6
use IEEE.STD_LOGIC_1164.ALL;
7
use IEEE.NUMERIC_STD.ALL;
8
use ieee.std_logic_unsigned.all;
9 12 earlz
use work.tinycpu.all;
10 2 earlz
 
11
entity registerfile is
12 12 earlz
 
13 2 earlz
  port(
14 12 earlz
                WriteEnable: in regwritetype;
15
                DataIn: in regdatatype;
16
                Clock: in std_logic;
17
                DataOut: out regdatatype
18 2 earlz
  );
19
end registerfile;
20
 
21
architecture Behavioral of registerfile is
22 12 earlz
 
23 5 earlz
  type registerstype is array(0 to 15) of std_logic_vector(7 downto 0);
24 2 earlz
  signal registers: registerstype;
25 12 earlz
  --attribute ram_style : string;
26
  --attribute ram_style of registers: signal is "distributed";
27 2 earlz
begin
28 12 earlz
  regs:
29
  for I in 0 to 15 generate
30
    process(WriteEnable(I), DataIn(I), Clock)
31
         begin
32
                                if rising_edge(Clock) then
33
                if(WriteEnable(I) = '1') then
34
                  registers(I) <= DataIn(I);
35
                end if;
36
                                end if;
37
        end process;
38
        DataOut(I) <= registers(I);
39
  end generate regs;
40 2 earlz
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.