OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 earlz
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
use ieee.std_logic_unsigned.all;
5
 
6
entity registerfile is
7
  port(
8
    Write:in std_logic_vector(7 downto 0); --what should be put into the write register
9 3 earlz
    SelRead1:in std_logic_vector(2 downto 0); --select which register to read
10
    SelRead2: in std_logic_vector(2 downto 0); --select second register to read
11 2 earlz
    SelWrite:in std_logic_vector(2 downto 0); --select which register to write
12
    UseWrite:in std_logic; --if the register should actually be written to
13
    Clock:in std_logic;
14 3 earlz
    Read1:out std_logic_vector(7 downto 0); --register to be read output
15
    Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
16 2 earlz
  );
17
end registerfile;
18
 
19
architecture Behavioral of registerfile is
20
  type registerstype is array(0 to 7) of std_logic_vector(7 downto 0);
21
  signal registers: registerstype;
22
begin
23
  writereg: process(Write, SelWrite, UseWrite, Clock)
24
  begin
25
    if(UseWrite='1') then
26
      if(rising_edge(clock)) then
27
        registers(conv_integer(SelWrite)) <= Write;
28
      end if;
29
    end if;
30
  end process;
31 3 earlz
  Read1 <= registers(conv_integer(SelRead1));
32
  Read2 <= registers(conv_integer(SelRead2));
33 2 earlz
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.