OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [src/] [registerfile.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 earlz
--registerfile module
2
--16 registers, dual port for both read and write
3
--8 bit registers
4
 
5 2 earlz
library IEEE;
6
use IEEE.STD_LOGIC_1164.ALL;
7
use IEEE.NUMERIC_STD.ALL;
8
use ieee.std_logic_unsigned.all;
9
 
10
entity registerfile is
11
  port(
12 5 earlz
    Write1:in std_logic_vector(7 downto 0); --what should be put into the write register
13
    Write2: in std_logic_vector(7 downto 0);
14
    SelRead1:in std_logic_vector(3 downto 0); --select which register to read
15
    SelRead2: in std_logic_vector(3 downto 0); --select second register to read
16
    SelWrite1:in std_logic_vector(3 downto 0); --select which register to write
17
    SelWrite2:in std_logic_vector(3 downto 0);
18
    UseWrite1:in std_logic; --if the register should actually be written to
19
    UseWrite2: in std_logic;
20 2 earlz
    Clock:in std_logic;
21 3 earlz
    Read1:out std_logic_vector(7 downto 0); --register to be read output
22
    Read2:out std_logic_vector(7 downto 0) --register to be read on second output 
23 2 earlz
  );
24
end registerfile;
25
 
26
architecture Behavioral of registerfile is
27 5 earlz
  type registerstype is array(0 to 15) of std_logic_vector(7 downto 0);
28 2 earlz
  signal registers: registerstype;
29
begin
30 5 earlz
  writereg: process(Write1, Write2, SelWrite1, SelWrite2, UseWrite1, UseWrite2, Clock)
31 2 earlz
  begin
32 5 earlz
    if(UseWrite1='1') then
33 2 earlz
      if(rising_edge(clock)) then
34 5 earlz
        registers(conv_integer(SelWrite1)) <= Write1;
35 2 earlz
      end if;
36
    end if;
37 5 earlz
    if(UseWrite2='1') then
38
      if(rising_edge(clock) and conv_integer(SelWrite1)/=conv_integer(SelWrite2)) then
39
        registers(conv_integer(SelWrite2)) <= Write2;
40
      end if;
41
    end if;
42 2 earlz
  end process;
43 3 earlz
  Read1 <= registers(conv_integer(SelRead1));
44
  Read2 <= registers(conv_integer(SelRead2));
45 2 earlz
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.