OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [src/] [vhdl/] [gendelay.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 steckol
library ieee;
2
use ieee.std_logic_1164.all;
3
 
4
library altera_mf;
5
use altera_mf.all;
6
 
7
entity gendelay is
8
        generic (n: integer := 1);
9
        port (
10
                a_in : in std_logic;
11
                a_out : out std_logic
12
        );
13
end gendelay;
14
 
15
architecture beh of gendelay is
16
--component iobuf
17
--      PORT
18
--      (
19
--              datain          : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
20
--              dataout         : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
21
--      );
22
--END component;
23
 
24
 
25
        component LCELL
26
                port (
27
                        a_in : in std_logic;
28
                        a_out : out std_logic
29
                );
30
        end component;
31
 
32
        signal a : std_logic_vector ((2 * n) - 1 downto 0);
33
   signal b : std_logic_vector ((2 * n) - 2 downto 0);
34
 
35
        attribute keep    : boolean;
36
        attribute keep of a     : signal is true;
37
        attribute keep of b     : signal is true;
38
 
39
begin
40
 
41
        delay_gen: for i in 1 to (2 * n) - 1 generate
42
                begin
43
                        lcell_i : LCELL
44
                        port map (
45
                                a_out => b(i - 1),
46
                                a_in => a(i - 1)
47
                        );
48
--                      iobuf_i : iobuf
49
--                      port map (
50
--                              datain(0) => a(i - 1),
51
--                              dataout(0) => b(i - 1)
52
--                      );
53
 
54
                        a(i) <= b(i - 1) after 300 ps;
55
                end generate;
56
 
57
        a(0) <= a_in after 300 ps;
58
        a_out <= a((2 * n) - 1);
59
 
60
end beh;
61
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.