OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.cdf] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
/* Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition */
2
JedecChain;
3
        FileRevision(JESD32A);
4
        DefaultMfr(6E);
5
 
6
        P ActionCode(Cfg)
7
                Device PartName(EP4CE6E22) Path("/home/mongoq/projects/fpga/tm1637-opencores/tm1637-gama/testing-17.2.21/tm1637-decimal-counter-testing/output_files/") File("tm1637.sof") MfrSpec(OpMask(1));
8
 
9
ChainEnd;
10
 
11
AlteraBegin;
12
        ChainType(JTAG);
13
AlteraEnd;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.