OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.eda.rpt] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
EDA Netlist Writer report for tm1637
2
Sat Mar 13 16:24:06 2021
3
Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. EDA Netlist Writer Summary
11
  3. Simulation Settings
12
  4. Simulation Generated Files
13
  5. EDA Netlist Writer Messages
14
 
15
 
16
 
17
----------------
18
; Legal Notice ;
19
----------------
20
Copyright (C) 2020  Intel Corporation. All rights reserved.
21
Your use of Intel Corporation's design tools, logic functions
22
and other software and tools, and any partner logic
23
functions, and any output files from any of the foregoing
24
(including device programming or simulation files), and any
25
associated documentation or information are expressly subject
26
to the terms and conditions of the Intel Program License
27
Subscription Agreement, the Intel Quartus Prime License Agreement,
28
the Intel FPGA IP License Agreement, or other applicable license
29
agreement, including, without limitation, that your use is for
30
the sole purpose of programming logic devices manufactured by
31
Intel and sold by Intel or its authorized distributors.  Please
32
refer to the applicable agreement for further details, at
33
https://fpgasoftware.intel.com/eula.
34
 
35
 
36
 
37
+-------------------------------------------------------------------+
38
; EDA Netlist Writer Summary                                        ;
39
+---------------------------+---------------------------------------+
40
; EDA Netlist Writer Status ; Successful - Sat Mar 13 16:24:06 2021 ;
41
; Revision Name             ; tm1637                                ;
42
; Top-level Entity Name     ; tm1637_toplevel                       ;
43
; Family                    ; Cyclone IV E                          ;
44
; Simulation Files Creation ; Successful                            ;
45
+---------------------------+---------------------------------------+
46
 
47
 
48
+----------------------------------------------------------------------------------------------------------------------------+
49
; Simulation Settings                                                                                                        ;
50
+---------------------------------------------------------------------------------------------------+------------------------+
51
; Option                                                                                            ; Setting                ;
52
+---------------------------------------------------------------------------------------------------+------------------------+
53
; Tool Name                                                                                         ; ModelSim-Altera (VHDL) ;
54
; Generate functional simulation netlist                                                            ; Off                    ;
55
; Time scale                                                                                        ; 1 ps                   ;
56
; Truncate long hierarchy paths                                                                     ; Off                    ;
57
; Map illegal HDL characters                                                                        ; Off                    ;
58
; Flatten buses into individual nodes                                                               ; Off                    ;
59
; Maintain hierarchy                                                                                ; Off                    ;
60
; Bring out device-wide set/reset signals as ports                                                  ; Off                    ;
61
; Enable glitch filtering                                                                           ; Off                    ;
62
; Do not write top level VHDL entity                                                                ; Off                    ;
63
; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off                    ;
64
; Architecture name in VHDL output netlist                                                          ; structure              ;
65
; Generate third-party EDA tool command script for RTL functional simulation                        ; Off                    ;
66
; Generate third-party EDA tool command script for gate-level simulation                            ; Off                    ;
67
+---------------------------------------------------------------------------------------------------+------------------------+
68
 
69
 
70
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
71
; Simulation Generated Files                                                                                                                                          ;
72
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
73
; Generated Files                                                                                                                                                     ;
74
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
75
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_85c_slow.vho      ;
76
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_0c_slow.vho       ;
77
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_min_1200mv_0c_fast.vho     ;
78
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637.vho                        ;
79
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_85c_vhd_slow.sdo  ;
80
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_8_1200mv_0c_vhd_slow.sdo   ;
81
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_min_1200mv_0c_vhd_fast.sdo ;
82
; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/tm1637_vhd.sdo                    ;
83
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
84
 
85
 
86
+-----------------------------+
87
; EDA Netlist Writer Messages ;
88
+-----------------------------+
89
Info: *******************************************************************
90
Info: Running Quartus Prime EDA Netlist Writer
91
    Info: Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
92
    Info: Processing started: Sat Mar 13 16:24:05 2021
93
Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
94
Info (204019): Generated file tm1637_8_1200mv_85c_slow.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
95
Info (204019): Generated file tm1637_8_1200mv_0c_slow.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
96
Info (204019): Generated file tm1637_min_1200mv_0c_fast.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
97
Info (204019): Generated file tm1637.vho in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
98
Info (204019): Generated file tm1637_8_1200mv_85c_vhd_slow.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
99
Info (204019): Generated file tm1637_8_1200mv_0c_vhd_slow.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
100
Info (204019): Generated file tm1637_min_1200mv_0c_vhd_fast.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
101
Info (204019): Generated file tm1637_vhd.sdo in folder "/home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/simulation/modelsim/" for EDA simulation tool
102
Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 0 warnings
103
    Info: Peak virtual memory: 807 megabytes
104
    Info: Processing ended: Sat Mar 13 16:24:06 2021
105
    Info: Elapsed time: 00:00:01
106
    Info: Total CPU time (on all processors): 00:00:01
107
 
108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.