OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.flow.rpt] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
Flow report for tm1637
2
Sat Mar 13 16:24:06 2021
3
Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Flow Summary
11
  3. Flow Settings
12
  4. Flow Non-Default Global Settings
13
  5. Flow Elapsed Time
14
  6. Flow OS Summary
15
  7. Flow Log
16
  8. Flow Messages
17
  9. Flow Suppressed Messages
18
 
19
 
20
 
21
----------------
22
; Legal Notice ;
23
----------------
24
Copyright (C) 2020  Intel Corporation. All rights reserved.
25
Your use of Intel Corporation's design tools, logic functions
26
and other software and tools, and any partner logic
27
functions, and any output files from any of the foregoing
28
(including device programming or simulation files), and any
29
associated documentation or information are expressly subject
30
to the terms and conditions of the Intel Program License
31
Subscription Agreement, the Intel Quartus Prime License Agreement,
32
the Intel FPGA IP License Agreement, or other applicable license
33
agreement, including, without limitation, that your use is for
34
the sole purpose of programming logic devices manufactured by
35
Intel and sold by Intel or its authorized distributors.  Please
36
refer to the applicable agreement for further details, at
37
https://fpgasoftware.intel.com/eula.
38
 
39
 
40
 
41
+----------------------------------------------------------------------------------+
42
; Flow Summary                                                                     ;
43
+------------------------------------+---------------------------------------------+
44
; Flow Status                        ; Successful - Sat Mar 13 16:24:06 2021       ;
45
; Quartus Prime Version              ; 20.1.0 Build 711 06/05/2020 SJ Lite Edition ;
46
; Revision Name                      ; tm1637                                      ;
47
; Top-level Entity Name              ; tm1637_toplevel                             ;
48
; Family                             ; Cyclone IV E                                ;
49
; Device                             ; EP4CE6E22C8                                 ;
50
; Timing Models                      ; Final                                       ;
51
; Total logic elements               ; 347 / 6,272 ( 6 % )                         ;
52
;     Total combinational functions  ; 332 / 6,272 ( 5 % )                         ;
53
;     Dedicated logic registers      ; 97 / 6,272 ( 2 % )                          ;
54
; Total registers                    ; 97                                          ;
55
; Total pins                         ; 3 / 92 ( 3 % )                              ;
56
; Total virtual pins                 ; 0                                           ;
57
; Total memory bits                  ; 0 / 276,480 ( 0 % )                         ;
58
; Embedded Multiplier 9-bit elements ; 0 / 30 ( 0 % )                              ;
59
; Total PLLs                         ; 0 / 2 ( 0 % )                               ;
60
+------------------------------------+---------------------------------------------+
61
 
62
 
63
+-----------------------------------------+
64
; Flow Settings                           ;
65
+-------------------+---------------------+
66
; Option            ; Setting             ;
67
+-------------------+---------------------+
68
; Start date & time ; 03/13/2021 16:23:38 ;
69
; Main task         ; Compilation         ;
70
; Revision Name     ; tm1637              ;
71
+-------------------+---------------------+
72
 
73
 
74
+---------------------------------------------------------------------------------------------------------------------------------+
75
; Flow Non-Default Global Settings                                                                                                ;
76
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+
77
; Assignment Name                     ; Value                                  ; Default Value ; Entity Name     ; Section Id     ;
78
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+
79
; COMPILER_SIGNATURE_ID               ; 2749717283328.161564901818329          ; --            ; --              ; --             ;
80
; EDA_OUTPUT_DATA_FORMAT              ; Vhdl                                   ; --            ; --              ; eda_simulation ;
81
; EDA_SIMULATION_TOOL                 ; ModelSim-Altera (VHDL)                 ;         ; --              ; --             ;
82
; MAX_CORE_JUNCTION_TEMP              ; 85                                     ; --            ; --              ; --             ;
83
; MIN_CORE_JUNCTION_TEMP              ; 0                                      ; --            ; --              ; --             ;
84
; NOMINAL_CORE_SUPPLY_VOLTAGE         ; 1.2V                                   ; --            ; --              ; --             ;
85
; NUM_PARALLEL_PROCESSORS             ; All                                    ; --            ; --              ; --             ;
86
; PARTITION_COLOR                     ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
87
; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
88
; PARTITION_NETLIST_TYPE              ; -- (Not supported for targeted family) ; --            ; tm1637_toplevel ; Top            ;
89
; PROJECT_OUTPUT_DIRECTORY            ; output_files                           ; --            ; --              ; --             ;
90
; TOP_LEVEL_ENTITY                    ; tm1637_toplevel                        ; tm1637        ; --              ; --             ;
91
+-------------------------------------+----------------------------------------+---------------+-----------------+----------------+
92
 
93
 
94
+--------------------------------------------------------------------------------------------------------------------------+
95
; Flow Elapsed Time                                                                                                        ;
96
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
97
; Module Name          ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
98
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
99
; Analysis & Synthesis ; 00:00:14     ; 1.0                     ; 623 MB              ; 00:00:33                           ;
100
; Fitter               ; 00:00:06     ; 1.0                     ; 887 MB              ; 00:00:06                           ;
101
; Assembler            ; 00:00:01     ; 1.0                     ; 555 MB              ; 00:00:01                           ;
102
; Timing Analyzer      ; 00:00:02     ; 1.1                     ; 621 MB              ; 00:00:02                           ;
103
; EDA Netlist Writer   ; 00:00:01     ; 1.0                     ; 807 MB              ; 00:00:01                           ;
104
; Total                ; 00:00:24     ; --                      ; --                  ; 00:00:43                           ;
105
+----------------------+--------------+-------------------------+---------------------+------------------------------------+
106
 
107
 
108
+---------------------------------------------------------------------------------+
109
; Flow OS Summary                                                                 ;
110
+----------------------+------------------+---------+------------+----------------+
111
; Module Name          ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
112
+----------------------+------------------+---------+------------+----------------+
113
; Analysis & Synthesis ; x220             ; This is ; This is    ; x86_64         ;
114
; Fitter               ; x220             ; This is ; This is    ; x86_64         ;
115
; Assembler            ; x220             ; This is ; This is    ; x86_64         ;
116
; Timing Analyzer      ; x220             ; This is ; This is    ; x86_64         ;
117
; EDA Netlist Writer   ; x220             ; This is ; This is    ; x86_64         ;
118
+----------------------+------------------+---------+------------+----------------+
119
 
120
 
121
------------
122
; Flow Log ;
123
------------
124
quartus_map --read_settings_files=on --write_settings_files=off tm1637 -c tm1637
125
quartus_fit --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
126
quartus_asm --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
127
quartus_sta tm1637 -c tm1637
128
quartus_eda --read_settings_files=off --write_settings_files=off tm1637 -c tm1637
129
 
130
 
131
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.