OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [output_files/] [tm1637.map.rpt] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
Analysis & Synthesis report for tm1637
2
Sat Mar 13 16:23:53 2021
3
Quartus Prime Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Analysis & Synthesis Summary
11
  3. Analysis & Synthesis Settings
12
  4. Parallel Compilation
13
  5. Analysis & Synthesis Source Files Read
14
  6. Analysis & Synthesis Resource Usage Summary
15
  7. Analysis & Synthesis Resource Utilization by Entity
16
  8. Registers Removed During Synthesis
17
  9. General Register Statistics
18
 10. Multiplexer Restructuring Statistics (Restructuring Performed)
19
 11. Parameter Settings for User Entity Instance: tm1637_decimal_count:dc
20
 12. Parameter Settings for User Entity Instance: tm1637_external_connect:tec
21
 13. Port Connectivity Checks: "tm1637_external_connect:tec"
22
 14. Port Connectivity Checks: "tm1637_decimal_count:dc"
23
 15. Post-Synthesis Netlist Statistics for Top Partition
24
 16. Elapsed Time Per Partition
25
 17. Analysis & Synthesis Messages
26
 
27
 
28
 
29
----------------
30
; Legal Notice ;
31
----------------
32
Copyright (C) 2020  Intel Corporation. All rights reserved.
33
Your use of Intel Corporation's design tools, logic functions
34
and other software and tools, and any partner logic
35
functions, and any output files from any of the foregoing
36
(including device programming or simulation files), and any
37
associated documentation or information are expressly subject
38
to the terms and conditions of the Intel Program License
39
Subscription Agreement, the Intel Quartus Prime License Agreement,
40
the Intel FPGA IP License Agreement, or other applicable license
41
agreement, including, without limitation, that your use is for
42
the sole purpose of programming logic devices manufactured by
43
Intel and sold by Intel or its authorized distributors.  Please
44
refer to the applicable agreement for further details, at
45
https://fpgasoftware.intel.com/eula.
46
 
47
 
48
 
49
+----------------------------------------------------------------------------------+
50
; Analysis & Synthesis Summary                                                     ;
51
+------------------------------------+---------------------------------------------+
52
; Analysis & Synthesis Status        ; Successful - Sat Mar 13 16:23:53 2021       ;
53
; Quartus Prime Version              ; 20.1.0 Build 711 06/05/2020 SJ Lite Edition ;
54
; Revision Name                      ; tm1637                                      ;
55
; Top-level Entity Name              ; tm1637_toplevel                             ;
56
; Family                             ; Cyclone IV E                                ;
57
; Total logic elements               ; 364                                         ;
58
;     Total combinational functions  ; 332                                         ;
59
;     Dedicated logic registers      ; 97                                          ;
60
; Total registers                    ; 97                                          ;
61
; Total pins                         ; 3                                           ;
62
; Total virtual pins                 ; 0                                           ;
63
; Total memory bits                  ; 0                                           ;
64
; Embedded Multiplier 9-bit elements ; 0                                           ;
65
; Total PLLs                         ; 0                                           ;
66
+------------------------------------+---------------------------------------------+
67
 
68
 
69
+------------------------------------------------------------------------------------------------------------+
70
; Analysis & Synthesis Settings                                                                              ;
71
+------------------------------------------------------------------+--------------------+--------------------+
72
; Option                                                           ; Setting            ; Default Value      ;
73
+------------------------------------------------------------------+--------------------+--------------------+
74
; Device                                                           ; EP4CE6E22C8        ;                    ;
75
; Top-level entity name                                            ; tm1637_toplevel    ; tm1637             ;
76
; Family name                                                      ; Cyclone IV E       ; Cyclone V          ;
77
; Maximum processors allowed for parallel compilation              ; All                ;                    ;
78
; Use smart compilation                                            ; Off                ; Off                ;
79
; Enable parallel Assembler and Timing Analyzer during compilation ; On                 ; On                 ;
80
; Enable compact report table                                      ; Off                ; Off                ;
81
; Restructure Multiplexers                                         ; Auto               ; Auto               ;
82
; Create Debugging Nodes for IP Cores                              ; Off                ; Off                ;
83
; Preserve fewer node names                                        ; On                 ; On                 ;
84
; Intel FPGA IP Evaluation Mode                                    ; Enable             ; Enable             ;
85
; Verilog Version                                                  ; Verilog_2001       ; Verilog_2001       ;
86
; VHDL Version                                                     ; VHDL_1993          ; VHDL_1993          ;
87
; State Machine Processing                                         ; Auto               ; Auto               ;
88
; Safe State Machine                                               ; Off                ; Off                ;
89
; Extract Verilog State Machines                                   ; On                 ; On                 ;
90
; Extract VHDL State Machines                                      ; On                 ; On                 ;
91
; Ignore Verilog initial constructs                                ; Off                ; Off                ;
92
; Iteration limit for constant Verilog loops                       ; 5000               ; 5000               ;
93
; Iteration limit for non-constant Verilog loops                   ; 250                ; 250                ;
94
; Add Pass-Through Logic to Inferred RAMs                          ; On                 ; On                 ;
95
; Infer RAMs from Raw Logic                                        ; On                 ; On                 ;
96
; Parallel Synthesis                                               ; On                 ; On                 ;
97
; DSP Block Balancing                                              ; Auto               ; Auto               ;
98
; NOT Gate Push-Back                                               ; On                 ; On                 ;
99
; Power-Up Don't Care                                              ; On                 ; On                 ;
100
; Remove Redundant Logic Cells                                     ; Off                ; Off                ;
101
; Remove Duplicate Registers                                       ; On                 ; On                 ;
102
; Ignore CARRY Buffers                                             ; Off                ; Off                ;
103
; Ignore CASCADE Buffers                                           ; Off                ; Off                ;
104
; Ignore GLOBAL Buffers                                            ; Off                ; Off                ;
105
; Ignore ROW GLOBAL Buffers                                        ; Off                ; Off                ;
106
; Ignore LCELL Buffers                                             ; Off                ; Off                ;
107
; Ignore SOFT Buffers                                              ; On                 ; On                 ;
108
; Limit AHDL Integers to 32 Bits                                   ; Off                ; Off                ;
109
; Optimization Technique                                           ; Balanced           ; Balanced           ;
110
; Carry Chain Length                                               ; 70                 ; 70                 ;
111
; Auto Carry Chains                                                ; On                 ; On                 ;
112
; Auto Open-Drain Pins                                             ; On                 ; On                 ;
113
; Perform WYSIWYG Primitive Resynthesis                            ; Off                ; Off                ;
114
; Auto ROM Replacement                                             ; On                 ; On                 ;
115
; Auto RAM Replacement                                             ; On                 ; On                 ;
116
; Auto DSP Block Replacement                                       ; On                 ; On                 ;
117
; Auto Shift Register Replacement                                  ; Auto               ; Auto               ;
118
; Allow Shift Register Merging across Hierarchies                  ; Auto               ; Auto               ;
119
; Auto Clock Enable Replacement                                    ; On                 ; On                 ;
120
; Strict RAM Replacement                                           ; Off                ; Off                ;
121
; Allow Synchronous Control Signals                                ; On                 ; On                 ;
122
; Force Use of Synchronous Clear Signals                           ; Off                ; Off                ;
123
; Auto RAM Block Balancing                                         ; On                 ; On                 ;
124
; Auto RAM to Logic Cell Conversion                                ; Off                ; Off                ;
125
; Auto Resource Sharing                                            ; Off                ; Off                ;
126
; Allow Any RAM Size For Recognition                               ; Off                ; Off                ;
127
; Allow Any ROM Size For Recognition                               ; Off                ; Off                ;
128
; Allow Any Shift Register Size For Recognition                    ; Off                ; Off                ;
129
; Use LogicLock Constraints during Resource Balancing              ; On                 ; On                 ;
130
; Ignore translate_off and synthesis_off directives                ; Off                ; Off                ;
131
; Timing-Driven Synthesis                                          ; On                 ; On                 ;
132
; Report Parameter Settings                                        ; On                 ; On                 ;
133
; Report Source Assignments                                        ; On                 ; On                 ;
134
; Report Connectivity Checks                                       ; On                 ; On                 ;
135
; Ignore Maximum Fan-Out Assignments                               ; Off                ; Off                ;
136
; Synchronization Register Chain Length                            ; 2                  ; 2                  ;
137
; Power Optimization During Synthesis                              ; Normal compilation ; Normal compilation ;
138
; HDL message level                                                ; Level2             ; Level2             ;
139
; Suppress Register Optimization Related Messages                  ; Off                ; Off                ;
140
; Number of Removed Registers Reported in Synthesis Report         ; 5000               ; 5000               ;
141
; Number of Swept Nodes Reported in Synthesis Report               ; 5000               ; 5000               ;
142
; Number of Inverted Registers Reported in Synthesis Report        ; 100                ; 100                ;
143
; Clock MUX Protection                                             ; On                 ; On                 ;
144
; Auto Gated Clock Conversion                                      ; Off                ; Off                ;
145
; Block Design Naming                                              ; Auto               ; Auto               ;
146
; SDC constraint protection                                        ; Off                ; Off                ;
147
; Synthesis Effort                                                 ; Auto               ; Auto               ;
148
; Shift Register Replacement - Allow Asynchronous Clear Signal     ; On                 ; On                 ;
149
; Pre-Mapping Resynthesis Optimization                             ; Off                ; Off                ;
150
; Analysis & Synthesis Message Level                               ; Medium             ; Medium             ;
151
; Disable Register Merging Across Hierarchies                      ; Auto               ; Auto               ;
152
; Resource Aware Inference For Block RAM                           ; On                 ; On                 ;
153
+------------------------------------------------------------------+--------------------+--------------------+
154
 
155
 
156
+------------------------------------------+
157
; Parallel Compilation                     ;
158
+----------------------------+-------------+
159
; Processors                 ; Number      ;
160
+----------------------------+-------------+
161
; Number detected on machine ; 4           ;
162
; Maximum allowed            ; 2           ;
163
;                            ;             ;
164
; Average used               ; 1.00        ;
165
; Maximum used               ; 2           ;
166
;                            ;             ;
167
; Usage by Processor         ; % Time Used ;
168
;     Processor 1            ; 100.0%      ;
169
;     Processor 2            ;   0.0%      ;
170
+----------------------------+-------------+
171
 
172
 
173
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
174
; Analysis & Synthesis Source Files Read                                                                                                                                                                                     ;
175
+----------------------------------+-----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
176
; File Name with User-Entered Path ; Used in Netlist ; File Type       ; File Name with Absolute Path                                                                                                              ; Library ;
177
+----------------------------------+-----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
178
; tm1637_external_connect.vhd      ; yes             ; User VHDL File  ; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_external_connect.vhd ;         ;
179
; tm1637_toplevel.vhd              ; yes             ; User VHDL File  ; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_toplevel.vhd         ;         ;
180
; tm1637_decimal_count.vhd         ; yes             ; User VHDL File  ; /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_decimal_count.vhd    ;         ;
181
+----------------------------------+-----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------+---------+
182
 
183
 
184
+-----------------------------------------------------------+
185
; Analysis & Synthesis Resource Usage Summary               ;
186
+---------------------------------------------+-------------+
187
; Resource                                    ; Usage       ;
188
+---------------------------------------------+-------------+
189
; Estimated Total logic elements              ; 364         ;
190
;                                             ;             ;
191
; Total combinational functions               ; 332         ;
192
; Logic element usage by number of LUT inputs ;             ;
193
;     -- 4 input functions                    ; 225         ;
194
;     -- 3 input functions                    ; 33          ;
195
;     -- <=2 input functions                  ; 74          ;
196
;                                             ;             ;
197
; Logic elements by mode                      ;             ;
198
;     -- normal mode                          ; 290         ;
199
;     -- arithmetic mode                      ; 42          ;
200
;                                             ;             ;
201
; Total registers                             ; 97          ;
202
;     -- Dedicated logic registers            ; 97          ;
203
;     -- I/O registers                        ; 0           ;
204
;                                             ;             ;
205
; I/O pins                                    ; 3           ;
206
;                                             ;             ;
207
; Embedded Multiplier 9-bit elements          ; 0           ;
208
;                                             ;             ;
209
; Maximum fan-out node                        ; clk25~input ;
210
; Maximum fan-out                             ; 97          ;
211
; Total fan-out                               ; 1424        ;
212
; Average fan-out                             ; 3.27        ;
213
+---------------------------------------------+-------------+
214
 
215
 
216
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
217
; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                 ;
218
+----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------+-------------------------+--------------+
219
; Compilation Hierarchy Node       ; Combinational ALUTs ; Dedicated Logic Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name                          ; Entity Name             ; Library Name ;
220
+----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------+-------------------------+--------------+
221
; |tm1637_toplevel                 ; 332 (0)             ; 97 (0)                    ; 0           ; 0            ; 0       ; 0         ; 3    ; 0            ; |tm1637_toplevel                             ; tm1637_toplevel         ; work         ;
222
;    |tm1637_decimal_count:dc|     ; 41 (41)             ; 45 (45)                   ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |tm1637_toplevel|tm1637_decimal_count:dc     ; tm1637_decimal_count    ; work         ;
223
;    |tm1637_external_connect:tec| ; 291 (291)           ; 52 (52)                   ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |tm1637_toplevel|tm1637_external_connect:tec ; tm1637_external_connect ; work         ;
224
+----------------------------------+---------------------+---------------------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------+-------------------------+--------------+
225
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
226
 
227
 
228
+-----------------------------------------------------------------------------------------+
229
; Registers Removed During Synthesis                                                      ;
230
+----------------------------------------+------------------------------------------------+
231
; Register name                          ; Reason for Removal                             ;
232
+----------------------------------------+------------------------------------------------+
233
; tm1637_external_connect:tec|ce         ; Merged with tm1637_decimal_count:dc|ce         ;
234
; tm1637_external_connect:tec|clkdiv[11] ; Merged with tm1637_decimal_count:dc|clkdiv[11] ;
235
; tm1637_external_connect:tec|clkdiv[10] ; Merged with tm1637_decimal_count:dc|clkdiv[10] ;
236
; tm1637_external_connect:tec|clkdiv[9]  ; Merged with tm1637_decimal_count:dc|clkdiv[9]  ;
237
; tm1637_external_connect:tec|clkdiv[8]  ; Merged with tm1637_decimal_count:dc|clkdiv[8]  ;
238
; tm1637_external_connect:tec|clkdiv[7]  ; Merged with tm1637_decimal_count:dc|clkdiv[7]  ;
239
; tm1637_external_connect:tec|clkdiv[6]  ; Merged with tm1637_decimal_count:dc|clkdiv[6]  ;
240
; tm1637_external_connect:tec|clkdiv[5]  ; Merged with tm1637_decimal_count:dc|clkdiv[5]  ;
241
; tm1637_external_connect:tec|clkdiv[4]  ; Merged with tm1637_decimal_count:dc|clkdiv[4]  ;
242
; tm1637_external_connect:tec|clkdiv[3]  ; Merged with tm1637_decimal_count:dc|clkdiv[3]  ;
243
; tm1637_external_connect:tec|clkdiv[2]  ; Merged with tm1637_decimal_count:dc|clkdiv[2]  ;
244
; tm1637_external_connect:tec|clkdiv[1]  ; Merged with tm1637_decimal_count:dc|clkdiv[1]  ;
245
; tm1637_external_connect:tec|clkdiv[0]  ; Merged with tm1637_decimal_count:dc|clkdiv[0]  ;
246
; Total Number of Removed Registers = 13 ;                                                ;
247
+----------------------------------------+------------------------------------------------+
248
 
249
 
250
+------------------------------------------------------+
251
; General Register Statistics                          ;
252
+----------------------------------------------+-------+
253
; Statistic                                    ; Value ;
254
+----------------------------------------------+-------+
255
; Total registers                              ; 97    ;
256
; Number of registers using Synchronous Clear  ; 12    ;
257
; Number of registers using Synchronous Load   ; 0     ;
258
; Number of registers using Asynchronous Clear ; 0     ;
259
; Number of registers using Asynchronous Load  ; 0     ;
260
; Number of registers using Clock Enable       ; 68    ;
261
; Number of registers using Preset             ; 0     ;
262
+----------------------------------------------+-------+
263
 
264
 
265
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+
266
; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                      ;
267
+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------+
268
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                            ;
269
+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------+
270
; 3:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |tm1637_toplevel|tm1637_decimal_count:dc|d10Next[0]   ;
271
; 3:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |tm1637_toplevel|tm1637_decimal_count:dc|d1000Next[1] ;
272
; 3:1                ; 4 bits    ; 8 LEs         ; 8 LEs                ; 0 LEs                  ; Yes        ; |tm1637_toplevel|tm1637_decimal_count:dc|d100Next[0]  ;
273
+--------------------+-----------+---------------+----------------------+------------------------+------------+-------------------------------------------------------+
274
 
275
 
276
+----------------------------------------------------------------------+
277
; Parameter Settings for User Entity Instance: tm1637_decimal_count:dc ;
278
+----------------+-------+---------------------------------------------+
279
; Parameter Name ; Value ; Type                                        ;
280
+----------------+-------+---------------------------------------------+
281
; divider        ; 2500  ; Signed Integer                              ;
282
+----------------+-------+---------------------------------------------+
283
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
284
 
285
 
286
+--------------------------------------------------------------------------+
287
; Parameter Settings for User Entity Instance: tm1637_external_connect:tec ;
288
+----------------+-------+-------------------------------------------------+
289
; Parameter Name ; Value ; Type                                            ;
290
+----------------+-------+-------------------------------------------------+
291
; divider        ; 2500  ; Signed Integer                                  ;
292
+----------------+-------+-------------------------------------------------+
293
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
294
 
295
 
296
+---------------------------------------------------------+
297
; Port Connectivity Checks: "tm1637_external_connect:tec" ;
298
+------+-------+----------+-------------------------------+
299
; Port ; Type  ; Severity ; Details                       ;
300
+------+-------+----------+-------------------------------+
301
; en   ; Input ; Info     ; Stuck at VCC                  ;
302
+------+-------+----------+-------------------------------+
303
 
304
 
305
+-----------------------------------------------------+
306
; Port Connectivity Checks: "tm1637_decimal_count:dc" ;
307
+------+-------+----------+---------------------------+
308
; Port ; Type  ; Severity ; Details                   ;
309
+------+-------+----------+---------------------------+
310
; en   ; Input ; Info     ; Stuck at VCC              ;
311
+------+-------+----------+---------------------------+
312
 
313
 
314
+-----------------------------------------------------+
315
; Post-Synthesis Netlist Statistics for Top Partition ;
316
+-----------------------+-----------------------------+
317
; Type                  ; Count                       ;
318
+-----------------------+-----------------------------+
319
; boundary_port         ; 3                           ;
320
; cycloneiii_ff         ; 97                          ;
321
;     ENA               ; 68                          ;
322
;     SCLR              ; 12                          ;
323
;     plain             ; 17                          ;
324
; cycloneiii_io_obuf    ; 1                           ;
325
; cycloneiii_lcell_comb ; 332                         ;
326
;     arith             ; 42                          ;
327
;         2 data inputs ; 42                          ;
328
;     normal            ; 290                         ;
329
;         1 data inputs ; 3                           ;
330
;         2 data inputs ; 29                          ;
331
;         3 data inputs ; 33                          ;
332
;         4 data inputs ; 225                         ;
333
;                       ;                             ;
334
; Max LUT depth         ; 11.00                       ;
335
; Average LUT depth     ; 6.26                        ;
336
+-----------------------+-----------------------------+
337
 
338
 
339
+-------------------------------+
340
; Elapsed Time Per Partition    ;
341
+----------------+--------------+
342
; Partition Name ; Elapsed Time ;
343
+----------------+--------------+
344
; Top            ; 00:00:01     ;
345
+----------------+--------------+
346
 
347
 
348
+-------------------------------+
349
; Analysis & Synthesis Messages ;
350
+-------------------------------+
351
Info: *******************************************************************
352
Info: Running Quartus Prime Analysis & Synthesis
353
    Info: Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition
354
    Info: Processing started: Sat Mar 13 16:23:38 2021
355
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off tm1637 -c tm1637
356
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
357
Info (12021): Found 2 design units, including 1 entities, in source file tm1637_external_connect.vhd
358
    Info (12022): Found design unit 1: tm1637_external_connect-Behavioral File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_external_connect.vhd Line: 23
359
    Info (12023): Found entity 1: tm1637_external_connect File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_external_connect.vhd Line: 12
360
Info (12021): Found 2 design units, including 1 entities, in source file tm1637_toplevel.vhd
361
    Info (12022): Found design unit 1: tm1637_toplevel-Behavioral File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_toplevel.vhd Line: 17
362
    Info (12023): Found entity 1: tm1637_toplevel File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_toplevel.vhd Line: 10
363
Info (12021): Found 2 design units, including 1 entities, in source file tm1637_decimal_count.vhd
364
    Info (12022): Found design unit 1: tm1637_decimal_count-behavioral File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_decimal_count.vhd Line: 14
365
    Info (12023): Found entity 1: tm1637_decimal_count File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_decimal_count.vhd Line: 8
366
Info (12127): Elaborating entity "tm1637_toplevel" for the top level hierarchy
367
Info (12128): Elaborating entity "tm1637_decimal_count" for hierarchy "tm1637_decimal_count:dc" File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_toplevel.vhd Line: 61
368
Info (12128): Elaborating entity "tm1637_external_connect" for hierarchy "tm1637_external_connect:tec" File: /home/mongoq/projects/fpga/tm1637-opencores/opencores-online-repository/tm1637/trunk/hdl/intel_qp/dec_counter/tm1637_toplevel.vhd Line: 72
369
Info (286030): Timing-Driven Synthesis is running
370
Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
371
    Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
372
Info (21057): Implemented 369 device resources after synthesis - the final resource count might be different
373
    Info (21058): Implemented 1 input pins
374
    Info (21059): Implemented 2 output pins
375
    Info (21061): Implemented 366 logic cells
376
Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 0 warnings
377
    Info: Peak virtual memory: 623 megabytes
378
    Info: Processing ended: Sat Mar 13 16:23:53 2021
379
    Info: Elapsed time: 00:00:15
380
    Info: Total CPU time (on all processors): 00:00:33
381
 
382
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.