OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [simulation/] [modelsim/] [modelsim.ini] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
; Copyright 1991-2009 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
 
12
; Altera Primitive libraries
13
;
14
; VHDL Section
15
;
16
;
17
; Verilog Section
18
;
19
 
20
work = rtl_work
21
[vcom]
22
; VHDL93 variable selects language version as the default.
23
; Default is VHDL-2002.
24
; Value of 0 or 1987 for VHDL-1987.
25
; Value of 1 or 1993 for VHDL-1993.
26
; Default or value of 2 or 2002 for VHDL-2002.
27
; Default or value of 3 or 2008 for VHDL-2008.
28
VHDL93 = 2002
29
 
30
; Show source line containing error. Default is off.
31
; Show_source = 1
32
 
33
; Turn off unbound-component warnings. Default is on.
34
; Show_Warning1 = 0
35
 
36
; Turn off process-without-a-wait-statement warnings. Default is on.
37
; Show_Warning2 = 0
38
 
39
; Turn off null-range warnings. Default is on.
40
; Show_Warning3 = 0
41
 
42
; Turn off no-space-in-time-literal warnings. Default is on.
43
; Show_Warning4 = 0
44
 
45
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
46
; Show_Warning5 = 0
47
 
48
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
49
; Optimize_1164 = 0
50
 
51
; Turn on resolving of ambiguous function overloading in favor of the
52
; "explicit" function declaration (not the one automatically created by
53
; the compiler for each type declaration). Default is off.
54
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
55
; will match the behavior of synthesis tools.
56
Explicit = 1
57
 
58
; Turn off acceleration of the VITAL packages. Default is to accelerate.
59
; NoVital = 1
60
 
61
; Turn off VITAL compliance checking. Default is checking on.
62
; NoVitalCheck = 1
63
 
64
; Ignore VITAL compliance checking errors. Default is to not ignore.
65
; IgnoreVitalErrors = 1
66
 
67
; Turn off VITAL compliance checking warnings. Default is to show warnings.
68
; Show_VitalChecksWarnings = 0
69
 
70
; Keep silent about case statement static warnings.
71
; Default is to give a warning.
72
; NoCaseStaticError = 1
73
 
74
; Keep silent about warnings caused by aggregates that are not locally static.
75
; Default is to give a warning.
76
; NoOthersStaticError = 1
77
 
78
; Turn off inclusion of debugging info within design units.
79
; Default is to include debugging info.
80
; NoDebug = 1
81
 
82
; Turn off "Loading..." messages. Default is messages on.
83
; Quiet = 1
84
 
85
; Turn on some limited synthesis rule compliance checking. Checks only:
86
;    -- signals used (read) by a process must be in the sensitivity list
87
; CheckSynthesis = 1
88
 
89
; Activate optimizations on expressions that do not involve signals,
90
; waits, or function/procedure/task invocations. Default is off.
91
; ScalarOpts = 1
92
 
93
; Require the user to specify a configuration for all bindings,
94
; and do not generate a compile time default binding for the
95
; component. This will result in an elaboration error of
96
; 'component not bound' if the user fails to do so. Avoids the rare
97
; issue of a false dependency upon the unused default binding.
98
; RequireConfigForAllDefaultBinding = 1
99
 
100
; Inhibit range checking on subscripts of arrays. Range checking on
101
; scalars defined with subtypes is inhibited by default.
102
; NoIndexCheck = 1
103
 
104
; Inhibit range checks on all (implicit and explicit) assignments to
105
; scalar objects defined with subtypes.
106
; NoRangeCheck = 1
107
 
108
[vlog]
109
 
110
; Turn off inclusion of debugging info within design units.
111
; Default is to include debugging info.
112
; NoDebug = 1
113
 
114
; Turn off "loading..." messages. Default is messages on.
115
; Quiet = 1
116
 
117
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
118
; Default is off.
119
; Hazard = 1
120
 
121
; Turn on converting regular Verilog identifiers to uppercase. Allows case
122
; insensitivity for module names. Default is no conversion.
123
; UpCase = 1
124
 
125
; Turn on incremental compilation of modules. Default is off.
126
; Incremental = 1
127
 
128
; Turns on lint-style checking.
129
; Show_Lint = 1
130
 
131
[vsim]
132
; Simulator resolution
133
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
134
Resolution = ps
135
 
136
; User time unit for run commands
137
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
138
; unit specified for Resolution. For example, if Resolution is 100ps,
139
; then UserTimeUnit defaults to ps.
140
; Should generally be set to default.
141
UserTimeUnit = default
142
 
143
; Default run length
144
RunLength = 100
145
 
146
; Maximum iterations that can be run without advancing simulation time
147
IterationLimit = 5000
148
 
149
; Directive to license manager:
150
; vhdl          Immediately reserve a VHDL license
151
; vlog          Immediately reserve a Verilog license
152
; plus          Immediately reserve a VHDL and Verilog license
153
; nomgc         Do not look for Mentor Graphics Licenses
154
; nomti         Do not look for Model Technology Licenses
155
; noqueue       Do not wait in the license queue when a license isn't available
156
; viewsim       Try for viewer license but accept simulator license(s) instead
157
;               of queuing for viewer license
158
; License = plus
159
 
160
; Stop the simulator after a VHDL/Verilog assertion message
161
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
162
BreakOnAssertion = 3
163
 
164
; Assertion Message Format
165
; %S - Severity Level
166
; %R - Report Message
167
; %T - Time of assertion
168
; %D - Delta
169
; %I - Instance or Region pathname (if available)
170
; %% - print '%' character
171
; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
172
 
173
; Assertion File - alternate file for storing VHDL/Verilog assertion messages
174
; AssertFile = assert.log
175
 
176
; Default radix for all windows and commands...
177
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
178
DefaultRadix = symbolic
179
 
180
; VSIM Startup command
181
; Startup = do startup.do
182
 
183
; File for saving command transcript
184
TranscriptFile = transcript
185
 
186
; File for saving command history
187
; CommandHistory = cmdhist.log
188
 
189
; Specify whether paths in simulator commands should be described
190
; in VHDL or Verilog format.
191
; For VHDL, PathSeparator = /
192
; For Verilog, PathSeparator = .
193
; Must not be the same character as DatasetSeparator.
194
PathSeparator = /
195
 
196
; Specify the dataset separator for fully rooted contexts.
197
; The default is ':'. For example, sim:/top
198
; Must not be the same character as PathSeparator.
199
DatasetSeparator = :
200
 
201
; Disable VHDL assertion messages
202
; IgnoreNote = 1
203
; IgnoreWarning = 1
204
; IgnoreError = 1
205
; IgnoreFailure = 1
206
 
207
; Default force kind. May be freeze, drive, deposit, or default
208
; or in other terms, fixed, wired, or charged.
209
; A value of "default" will use the signal kind to determine the
210
; force kind, drive for resolved signals, freeze for unresolved signals
211
; DefaultForceKind = freeze
212
 
213
; If zero, open files when elaborated; otherwise, open files on
214
; first read or write.  Default is 0.
215
; DelayFileOpen = 1
216
 
217
; Control VHDL files opened for write.
218
;   0 = Buffered, 1 = Unbuffered
219
UnbufferedOutput = 0
220
 
221
; Control the number of VHDL files open concurrently.
222
; This number should always be less than the current ulimit
223
; setting for max file descriptors.
224
;   0 = unlimited
225
ConcurrentFileLimit = 40
226
 
227
; Control the number of hierarchical regions displayed as
228
; part of a signal name shown in the Wave window.
229
; A value of zero tells VSIM to display the full name.
230
; The default is 0.
231
; WaveSignalNameWidth = 0
232
 
233
; Turn off warnings from the std_logic_arith, std_logic_unsigned
234
; and std_logic_signed packages.
235
; StdArithNoWarnings = 1
236
 
237
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
238
; NumericStdNoWarnings = 1
239
 
240
; Control the format of the (VHDL) FOR generate statement label
241
; for each iteration.  Do not quote it.
242
; The format string here must contain the conversion codes %s and %d,
243
; in that order, and no other conversion codes.  The %s represents
244
; the generate_label; the %d represents the generate parameter value
245
; at a particular generate iteration (this is the position number if
246
; the generate parameter is of an enumeration type).  Embedded whitespace
247
; is allowed (but discouraged); leading and trailing whitespace is ignored.
248
; Application of the format must result in a unique scope name over all
249
; such names in the design so that name lookup can function properly.
250
; GenerateFormat = %s__%d
251
 
252
; Specify whether checkpoint files should be compressed.
253
; The default is 1 (compressed).
254
; CheckpointCompressMode = 0
255
 
256
; List of dynamically loaded objects for Verilog PLI applications
257
; Veriuser = veriuser.sl
258
 
259
; Specify default options for the restart command. Options can be one
260
; or more of: -force -nobreakpoint -nolist -nolog -nowave
261
; DefaultRestartOptions = -force
262
 
263
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
264
; (> 500 megabyte memory footprint). Default is disabled.
265
; Specify number of megabytes to lock.
266
; LockedMemory = 1000
267
 
268
; Turn on (1) or off (0) WLF file compression.
269
; The default is 1 (compress WLF file).
270
; WLFCompress = 0
271
 
272
; Specify whether to save all design hierarchy (1) in the WLF file
273
; or only regions containing logged signals (0).
274
; The default is 0 (save only regions with logged signals).
275
; WLFSaveAllRegions = 1
276
 
277
; WLF file time limit.  Limit WLF file by time, as closely as possible,
278
; to the specified amount of simulation time.  When the limit is exceeded
279
; the earliest times get truncated from the file.
280
; If both time and size limits are specified the most restrictive is used.
281
; UserTimeUnits are used if time units are not specified.
282
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
283
; WLFTimeLimit = 0
284
 
285
; WLF file size limit.  Limit WLF file size, as closely as possible,
286
; to the specified number of megabytes.  If both time and size limits
287
; are specified then the most restrictive is used.
288
; The default is 0 (no limit).
289
; WLFSizeLimit = 1000
290
 
291
; Specify whether or not a WLF file should be deleted when the
292
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
293
; The default is 0 (do not delete WLF file when simulation ends).
294
; WLFDeleteOnQuit = 1
295
 
296
; Automatic SDF compilation
297
; Disables automatic compilation of SDF files in flows that support it.
298
; Default is on, uncomment to turn off.
299
; NoAutoSDFCompile = 1
300
 
301
[lmc]
302
 
303
[msg_system]
304
; Change a message severity or suppress a message.
305
; The format is:  = [,...]
306
; Examples:
307
;   note = 3009
308
;   warning = 3033
309
;   error = 3010,3016
310
;   fatal = 3016,3033
311
;   suppress = 3009,3016,3043
312
; The command verror  can be used to get the complete
313
; description of a message.
314
 
315
; Control transcripting of elaboration/runtime messages.
316
; The default is to have messages appear in the transcript and
317
; recorded in the wlf file (messages that are recorded in the
318
; wlf file can be viewed in the MsgViewer).  The other settings
319
; are to send messages only to the transcript or only to the
320
; wlf file.  The valid values are
321
;    both  {default}
322
;    tran  {transcript only}
323
;    wlf   {wlf file only}
324
; msgmode = both

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.