OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [simulation/] [modelsim/] [tm1637_8_1200mv_85c_slow.vho] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
-- Copyright (C) 2020  Intel Corporation. All rights reserved.
2
-- Your use of Intel Corporation's design tools, logic functions
3
-- and other software and tools, and any partner logic
4
-- functions, and any output files from any of the foregoing
5
-- (including device programming or simulation files), and any
6
-- associated documentation or information are expressly subject
7
-- to the terms and conditions of the Intel Program License
8
-- Subscription Agreement, the Intel Quartus Prime License Agreement,
9
-- the Intel FPGA IP License Agreement, or other applicable license
10
-- agreement, including, without limitation, that your use is for
11
-- the sole purpose of programming logic devices manufactured by
12
-- Intel and sold by Intel or its authorized distributors.  Please
13
-- refer to the applicable agreement for further details, at
14
-- https://fpgasoftware.intel.com/eula.
15
 
16
-- VENDOR "Altera"
17
-- PROGRAM "Quartus Prime"
18
-- VERSION "Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition"
19
 
20
-- DATE "03/13/2021 16:24:05"
21
 
22
--
23
-- Device: Altera EP4CE6E22C8 Package TQFP144
24
--
25
 
26
--
27
-- This VHDL file should be used for ModelSim-Altera (VHDL) only
28
--
29
 
30
LIBRARY CYCLONEIVE;
31
LIBRARY IEEE;
32
USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL;
33
USE IEEE.STD_LOGIC_1164.ALL;
34
 
35
ENTITY  hard_block IS
36
    PORT (
37
        devoe : IN std_logic;
38
        devclrn : IN std_logic;
39
        devpor : IN std_logic
40
        );
41
END hard_block;
42
 
43
-- Design Ports Information
44
-- ~ALTERA_ASDO_DATA1~  =>  Location: PIN_6,     I/O Standard: 2.5 V,    Current Strength: Default
45
-- ~ALTERA_FLASH_nCE_nCSO~      =>  Location: PIN_8,     I/O Standard: 2.5 V,    Current Strength: Default
46
-- ~ALTERA_DCLK~        =>  Location: PIN_12,    I/O Standard: 2.5 V,    Current Strength: Default
47
-- ~ALTERA_DATA0~       =>  Location: PIN_13,    I/O Standard: 2.5 V,    Current Strength: Default
48
-- ~ALTERA_nCEO~        =>  Location: PIN_101,   I/O Standard: 2.5 V,    Current Strength: 8mA
49
 
50
 
51
ARCHITECTURE structure OF hard_block IS
52
SIGNAL gnd : std_logic := '0';
53
SIGNAL vcc : std_logic := '1';
54
SIGNAL unknown : std_logic := 'X';
55
SIGNAL ww_devoe : std_logic;
56
SIGNAL ww_devclrn : std_logic;
57
SIGNAL ww_devpor : std_logic;
58
SIGNAL \~ALTERA_ASDO_DATA1~~padout\ : std_logic;
59
SIGNAL \~ALTERA_FLASH_nCE_nCSO~~padout\ : std_logic;
60
SIGNAL \~ALTERA_DATA0~~padout\ : std_logic;
61
SIGNAL \~ALTERA_ASDO_DATA1~~ibuf_o\ : std_logic;
62
SIGNAL \~ALTERA_FLASH_nCE_nCSO~~ibuf_o\ : std_logic;
63
SIGNAL \~ALTERA_DATA0~~ibuf_o\ : std_logic;
64
 
65
BEGIN
66
 
67
ww_devoe <= devoe;
68
ww_devclrn <= devclrn;
69
ww_devpor <= devpor;
70
END structure;
71
 
72
 
73
LIBRARY ALTERA;
74
LIBRARY CYCLONEIVE;
75
LIBRARY IEEE;
76
USE ALTERA.ALTERA_PRIMITIVES_COMPONENTS.ALL;
77
USE CYCLONEIVE.CYCLONEIVE_COMPONENTS.ALL;
78
USE IEEE.STD_LOGIC_1164.ALL;
79
 
80
ENTITY  tm1637_toplevel IS
81
    PORT (
82
        clk25 : IN std_logic;
83
        clk : BUFFER std_logic;
84
        dio : BUFFER std_logic
85
        );
86
END tm1637_toplevel;
87
 
88
-- Design Ports Information
89
-- clk  =>  Location: PIN_132,   I/O Standard: 2.5 V,    Current Strength: Default
90
-- dio  =>  Location: PIN_128,   I/O Standard: 2.5 V,    Current Strength: Default
91
-- clk25        =>  Location: PIN_25,    I/O Standard: 2.5 V,    Current Strength: Default
92
 
93
 
94
ARCHITECTURE structure OF tm1637_toplevel IS
95
SIGNAL gnd : std_logic := '0';
96
SIGNAL vcc : std_logic := '1';
97
SIGNAL unknown : std_logic := 'X';
98
SIGNAL devoe : std_logic := '1';
99
SIGNAL devclrn : std_logic := '1';
100
SIGNAL devpor : std_logic := '1';
101
SIGNAL ww_devoe : std_logic;
102
SIGNAL ww_devclrn : std_logic;
103
SIGNAL ww_devpor : std_logic;
104
SIGNAL ww_clk25 : std_logic;
105
SIGNAL ww_clk : std_logic;
106
SIGNAL ww_dio : std_logic;
107
SIGNAL \clk25~inputclkctrl_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
108
SIGNAL \dio~output_o\ : std_logic;
109
SIGNAL \clk~output_o\ : std_logic;
110
SIGNAL \clk25~input_o\ : std_logic;
111
SIGNAL \clk25~inputclkctrl_outclk\ : std_logic;
112
SIGNAL \tec|Add1~1\ : std_logic;
113
SIGNAL \tec|Add1~2_combout\ : std_logic;
114
SIGNAL \dc|clkdiv[0]~12_combout\ : std_logic;
115
SIGNAL \dc|clkdiv[0]~13\ : std_logic;
116
SIGNAL \dc|clkdiv[1]~14_combout\ : std_logic;
117
SIGNAL \dc|clkdiv[1]~15\ : std_logic;
118
SIGNAL \dc|clkdiv[2]~16_combout\ : std_logic;
119
SIGNAL \dc|clkdiv[2]~17\ : std_logic;
120
SIGNAL \dc|clkdiv[3]~18_combout\ : std_logic;
121
SIGNAL \dc|LessThan0~1_combout\ : std_logic;
122
SIGNAL \dc|clkdiv[3]~19\ : std_logic;
123
SIGNAL \dc|clkdiv[4]~20_combout\ : std_logic;
124
SIGNAL \dc|clkdiv[4]~21\ : std_logic;
125
SIGNAL \dc|clkdiv[5]~22_combout\ : std_logic;
126
SIGNAL \dc|clkdiv[5]~23\ : std_logic;
127
SIGNAL \dc|clkdiv[6]~24_combout\ : std_logic;
128
SIGNAL \dc|clkdiv[6]~25\ : std_logic;
129
SIGNAL \dc|clkdiv[7]~26_combout\ : std_logic;
130
SIGNAL \dc|clkdiv[7]~27\ : std_logic;
131
SIGNAL \dc|clkdiv[8]~28_combout\ : std_logic;
132
SIGNAL \dc|LessThan0~0_combout\ : std_logic;
133
SIGNAL \dc|LessThan0~2_combout\ : std_logic;
134
SIGNAL \dc|clkdiv[8]~29\ : std_logic;
135
SIGNAL \dc|clkdiv[9]~30_combout\ : std_logic;
136
SIGNAL \dc|clkdiv[9]~31\ : std_logic;
137
SIGNAL \dc|clkdiv[10]~32_combout\ : std_logic;
138
SIGNAL \dc|clkdiv[10]~33\ : std_logic;
139
SIGNAL \dc|clkdiv[11]~34_combout\ : std_logic;
140
SIGNAL \dc|LessThan0~3_combout\ : std_logic;
141
SIGNAL \dc|ce~q\ : std_logic;
142
SIGNAL \tec|Add1~3\ : std_logic;
143
SIGNAL \tec|Add1~4_combout\ : std_logic;
144
SIGNAL \tec|Add1~5\ : std_logic;
145
SIGNAL \tec|Add1~6_combout\ : std_logic;
146
SIGNAL \tec|Add1~7\ : std_logic;
147
SIGNAL \tec|Add1~8_combout\ : std_logic;
148
SIGNAL \tec|Equal0~3_combout\ : std_logic;
149
SIGNAL \tec|Equal19~0_combout\ : std_logic;
150
SIGNAL \tec|sm_counter~4_combout\ : std_logic;
151
SIGNAL \tec|Add1~9\ : std_logic;
152
SIGNAL \tec|Add1~10_combout\ : std_logic;
153
SIGNAL \tec|Add1~11\ : std_logic;
154
SIGNAL \tec|Add1~12_combout\ : std_logic;
155
SIGNAL \tec|Add1~13\ : std_logic;
156
SIGNAL \tec|Add1~14_combout\ : std_logic;
157
SIGNAL \tec|Add1~15\ : std_logic;
158
SIGNAL \tec|Add1~16_combout\ : std_logic;
159
SIGNAL \tec|sm_counter~3_combout\ : std_logic;
160
SIGNAL \tec|Add1~17\ : std_logic;
161
SIGNAL \tec|Add1~18_combout\ : std_logic;
162
SIGNAL \tec|sm_counter~2_combout\ : std_logic;
163
SIGNAL \tec|Add1~19\ : std_logic;
164
SIGNAL \tec|Add1~20_combout\ : std_logic;
165
SIGNAL \tec|sm_counter~1_combout\ : std_logic;
166
SIGNAL \tec|Add1~21\ : std_logic;
167
SIGNAL \tec|Add1~22_combout\ : std_logic;
168
SIGNAL \tec|Add1~23\ : std_logic;
169
SIGNAL \tec|Add1~24_combout\ : std_logic;
170
SIGNAL \tec|Add1~25\ : std_logic;
171
SIGNAL \tec|Add1~26_combout\ : std_logic;
172
SIGNAL \tec|sm_counter~0_combout\ : std_logic;
173
SIGNAL \tec|Equal142~6_combout\ : std_logic;
174
SIGNAL \tec|Equal142~7_combout\ : std_logic;
175
SIGNAL \tec|Add1~27\ : std_logic;
176
SIGNAL \tec|Add1~28_combout\ : std_logic;
177
SIGNAL \tec|Add1~29\ : std_logic;
178
SIGNAL \tec|Add1~30_combout\ : std_logic;
179
SIGNAL \tec|Add1~31\ : std_logic;
180
SIGNAL \tec|Add1~32_combout\ : std_logic;
181
SIGNAL \tec|Add1~33\ : std_logic;
182
SIGNAL \tec|Add1~34_combout\ : std_logic;
183
SIGNAL \tec|Add1~35\ : std_logic;
184
SIGNAL \tec|Add1~36_combout\ : std_logic;
185
SIGNAL \tec|Add1~37\ : std_logic;
186
SIGNAL \tec|Add1~38_combout\ : std_logic;
187
SIGNAL \tec|Add1~39\ : std_logic;
188
SIGNAL \tec|Add1~40_combout\ : std_logic;
189
SIGNAL \tec|Add1~41\ : std_logic;
190
SIGNAL \tec|Add1~42_combout\ : std_logic;
191
SIGNAL \tec|Add1~43\ : std_logic;
192
SIGNAL \tec|Add1~44_combout\ : std_logic;
193
SIGNAL \tec|Add1~45\ : std_logic;
194
SIGNAL \tec|Add1~46_combout\ : std_logic;
195
SIGNAL \tec|Equal142~3_combout\ : std_logic;
196
SIGNAL \tec|Equal142~4_combout\ : std_logic;
197
SIGNAL \tec|Add1~47\ : std_logic;
198
SIGNAL \tec|Add1~48_combout\ : std_logic;
199
SIGNAL \tec|Add1~49\ : std_logic;
200
SIGNAL \tec|Add1~50_combout\ : std_logic;
201
SIGNAL \tec|Add1~51\ : std_logic;
202
SIGNAL \tec|Add1~52_combout\ : std_logic;
203
SIGNAL \tec|Add1~53\ : std_logic;
204
SIGNAL \tec|Add1~54_combout\ : std_logic;
205
SIGNAL \tec|Add1~55\ : std_logic;
206
SIGNAL \tec|Add1~56_combout\ : std_logic;
207
SIGNAL \tec|Add1~57\ : std_logic;
208
SIGNAL \tec|Add1~58_combout\ : std_logic;
209
SIGNAL \tec|Add1~59\ : std_logic;
210
SIGNAL \tec|Add1~60_combout\ : std_logic;
211
SIGNAL \tec|Add1~61\ : std_logic;
212
SIGNAL \tec|Add1~62_combout\ : std_logic;
213
SIGNAL \tec|Equal142~1_combout\ : std_logic;
214
SIGNAL \tec|Equal142~2_combout\ : std_logic;
215
SIGNAL \tec|Equal142~5_combout\ : std_logic;
216
SIGNAL \tec|Equal142~0_combout\ : std_logic;
217
SIGNAL \tec|Equal142~8_combout\ : std_logic;
218
SIGNAL \tec|Add1~0_combout\ : std_logic;
219
SIGNAL \tec|sm_counter~5_combout\ : std_logic;
220
SIGNAL \tec|Equal7~0_combout\ : std_logic;
221
SIGNAL \tec|Equal64~1_combout\ : std_logic;
222
SIGNAL \tec|Equal24~2_combout\ : std_logic;
223
SIGNAL \tec|Equal64~0_combout\ : std_logic;
224
SIGNAL \tec|Equal80~0_combout\ : std_logic;
225
SIGNAL \dc|d1Next[0]~2_combout\ : std_logic;
226
SIGNAL \dc|d1Next~1_combout\ : std_logic;
227
SIGNAL \dc|d1Curr[3]~feeder_combout\ : std_logic;
228
SIGNAL \dc|d1Next~0_combout\ : std_logic;
229
SIGNAL \dc|d1Curr[1]~feeder_combout\ : std_logic;
230
SIGNAL \dc|Add4~0_combout\ : std_logic;
231
SIGNAL \dc|Equal3~0_combout\ : std_logic;
232
SIGNAL \dc|d10Next~0_combout\ : std_logic;
233
SIGNAL \dc|Add3~0_combout\ : std_logic;
234
SIGNAL \dc|d10Next~3_combout\ : std_logic;
235
SIGNAL \dc|Equal2~0_combout\ : std_logic;
236
SIGNAL \dc|d10Next~1_combout\ : std_logic;
237
SIGNAL \dc|d10Curr[1]~feeder_combout\ : std_logic;
238
SIGNAL \dc|d10Next~2_combout\ : std_logic;
239
SIGNAL \dc|d10Curr[2]~feeder_combout\ : std_logic;
240
SIGNAL \tec|Equal71~0_combout\ : std_logic;
241
SIGNAL \tec|Equal143~2_combout\ : std_logic;
242
SIGNAL \tec|rdy~q\ : std_logic;
243
SIGNAL \tec|reg_digit0[3]~0_combout\ : std_logic;
244
SIGNAL \tec|reg_digit2[1]~feeder_combout\ : std_logic;
245
SIGNAL \tec|Mux16~0_combout\ : std_logic;
246
SIGNAL \tec|Selector1~4_combout\ : std_logic;
247
SIGNAL \tec|Equal8~0_combout\ : std_logic;
248
SIGNAL \tec|Equal8~1_combout\ : std_logic;
249
SIGNAL \dc|d100Next~0_combout\ : std_logic;
250
SIGNAL \dc|d100Curr[0]~feeder_combout\ : std_logic;
251
SIGNAL \dc|process_1~0_combout\ : std_logic;
252
SIGNAL \dc|Add2~0_combout\ : std_logic;
253
SIGNAL \dc|d100Next~3_combout\ : std_logic;
254
SIGNAL \dc|process_1~1_combout\ : std_logic;
255
SIGNAL \dc|process_1~2_combout\ : std_logic;
256
SIGNAL \dc|d100Next~1_combout\ : std_logic;
257
SIGNAL \dc|d100Curr[1]~feeder_combout\ : std_logic;
258
SIGNAL \dc|d100Next~2_combout\ : std_logic;
259
SIGNAL \dc|d100Curr[2]~feeder_combout\ : std_logic;
260
SIGNAL \tec|Mux13~0_combout\ : std_logic;
261
SIGNAL \tec|Equal9~1_combout\ : std_logic;
262
SIGNAL \tec|Selector1~6_combout\ : std_logic;
263
SIGNAL \tec|Mux15~0_combout\ : std_logic;
264
SIGNAL \tec|Equal1~2_combout\ : std_logic;
265
SIGNAL \tec|Selector1~5_combout\ : std_logic;
266
SIGNAL \tec|Equal37~0_combout\ : std_logic;
267
SIGNAL \tec|Mux25~0_combout\ : std_logic;
268
SIGNAL \tec|Selector1~3_combout\ : std_logic;
269
SIGNAL \tec|Selector1~7_combout\ : std_logic;
270
SIGNAL \tec|Mux9~0_combout\ : std_logic;
271
SIGNAL \tec|Equal64~2_combout\ : std_logic;
272
SIGNAL \tec|Equal64~3_combout\ : std_logic;
273
SIGNAL \tec|Selector1~9_combout\ : std_logic;
274
SIGNAL \tec|Mux10~0_combout\ : std_logic;
275
SIGNAL \tec|Selector1~8_combout\ : std_logic;
276
SIGNAL \dc|d1000Next~0_combout\ : std_logic;
277
SIGNAL \dc|Add1~0_combout\ : std_logic;
278
SIGNAL \dc|d1000Next~3_combout\ : std_logic;
279
SIGNAL \dc|d1000Curr[3]~feeder_combout\ : std_logic;
280
SIGNAL \dc|process_1~3_combout\ : std_logic;
281
SIGNAL \dc|d1000Next~1_combout\ : std_logic;
282
SIGNAL \dc|d1000Next~2_combout\ : std_logic;
283
SIGNAL \tec|reg_digit0[3]~feeder_combout\ : std_logic;
284
SIGNAL \tec|Mux2~0_combout\ : std_logic;
285
SIGNAL \tec|Equal47~0_combout\ : std_logic;
286
SIGNAL \tec|Equal7~1_combout\ : std_logic;
287
SIGNAL \tec|Selector1~10_combout\ : std_logic;
288
SIGNAL \tec|Mux1~0_combout\ : std_logic;
289
SIGNAL \tec|Equal37~1_combout\ : std_logic;
290
SIGNAL \tec|Selector1~11_combout\ : std_logic;
291
SIGNAL \tec|Selector1~12_combout\ : std_logic;
292
SIGNAL \tec|Equal77~0_combout\ : std_logic;
293
SIGNAL \tec|Mux20~0_combout\ : std_logic;
294
SIGNAL \tec|Equal27~0_combout\ : std_logic;
295
SIGNAL \tec|Equal89~0_combout\ : std_logic;
296
SIGNAL \tec|Selector1~25_combout\ : std_logic;
297
SIGNAL \tec|Mux19~0_combout\ : std_logic;
298
SIGNAL \tec|Selector1~26_combout\ : std_logic;
299
SIGNAL \tec|Mux17~0_combout\ : std_logic;
300
SIGNAL \tec|Equal69~0_combout\ : std_logic;
301
SIGNAL \tec|Mux18~0_combout\ : std_logic;
302
SIGNAL \tec|Selector1~27_combout\ : std_logic;
303
SIGNAL \tec|Selector1~28_combout\ : std_logic;
304
SIGNAL \tec|Mux11~0_combout\ : std_logic;
305
SIGNAL \tec|Mux4~0_combout\ : std_logic;
306
SIGNAL \tec|Equal18~0_combout\ : std_logic;
307
SIGNAL \tec|Equal18~1_combout\ : std_logic;
308
SIGNAL \tec|Selector1~31_combout\ : std_logic;
309
SIGNAL \tec|Selector1~32_combout\ : std_logic;
310
SIGNAL \tec|Mux12~0_combout\ : std_logic;
311
SIGNAL \tec|Selector1~30_combout\ : std_logic;
312
SIGNAL \tec|Equal7~2_combout\ : std_logic;
313
SIGNAL \tec|Equal76~0_combout\ : std_logic;
314
SIGNAL \tec|Equal76~1_combout\ : std_logic;
315
SIGNAL \tec|Mux14~0_combout\ : std_logic;
316
SIGNAL \tec|Selector1~29_combout\ : std_logic;
317
SIGNAL \tec|Selector1~33_combout\ : std_logic;
318
SIGNAL \tec|Equal96~0_combout\ : std_logic;
319
SIGNAL \tec|Equal97~2_combout\ : std_logic;
320
SIGNAL \tec|Mux0~0_combout\ : std_logic;
321
SIGNAL \tec|Mux21~0_combout\ : std_logic;
322
SIGNAL \tec|Equal2~3_combout\ : std_logic;
323
SIGNAL \tec|Equal2~4_combout\ : std_logic;
324
SIGNAL \tec|Equal10~0_combout\ : std_logic;
325
SIGNAL \tec|Equal43~0_combout\ : std_logic;
326
SIGNAL \tec|Selector1~23_combout\ : std_logic;
327
SIGNAL \tec|Equal99~2_combout\ : std_logic;
328
SIGNAL \tec|Mux22~0_combout\ : std_logic;
329
SIGNAL \tec|Mux3~0_combout\ : std_logic;
330
SIGNAL \tec|Equal16~1_combout\ : std_logic;
331
SIGNAL \tec|Selector1~22_combout\ : std_logic;
332
SIGNAL \tec|Selector1~24_combout\ : std_logic;
333
SIGNAL \tec|Mux7~0_combout\ : std_logic;
334
SIGNAL \tec|Equal56~2_combout\ : std_logic;
335
SIGNAL \tec|Equal56~4_combout\ : std_logic;
336
SIGNAL \tec|Equal56~3_combout\ : std_logic;
337
SIGNAL \tec|Selector1~15_combout\ : std_logic;
338
SIGNAL \tec|Mux5~0_combout\ : std_logic;
339
SIGNAL \tec|Equal53~0_combout\ : std_logic;
340
SIGNAL \tec|Equal16~0_combout\ : std_logic;
341
SIGNAL \tec|Selector1~18_combout\ : std_logic;
342
SIGNAL \tec|Mux26~0_combout\ : std_logic;
343
SIGNAL \tec|Equal74~0_combout\ : std_logic;
344
SIGNAL \tec|Equal74~1_combout\ : std_logic;
345
SIGNAL \tec|Selector1~19_combout\ : std_logic;
346
SIGNAL \tec|Equal63~0_combout\ : std_logic;
347
SIGNAL \tec|Mux8~0_combout\ : std_logic;
348
SIGNAL \tec|Mux27~0_combout\ : std_logic;
349
SIGNAL \tec|Selector1~17_combout\ : std_logic;
350
SIGNAL \tec|Selector1~20_combout\ : std_logic;
351
SIGNAL \tec|Mux24~0_combout\ : std_logic;
352
SIGNAL \tec|Selector1~13_combout\ : std_logic;
353
SIGNAL \tec|Mux23~0_combout\ : std_logic;
354
SIGNAL \tec|Selector1~14_combout\ : std_logic;
355
SIGNAL \tec|Mux6~0_combout\ : std_logic;
356
SIGNAL \tec|Selector1~16_combout\ : std_logic;
357
SIGNAL \tec|Selector1~21_combout\ : std_logic;
358
SIGNAL \tec|Selector1~34_combout\ : std_logic;
359
SIGNAL \tec|Selector1~0_combout\ : std_logic;
360
SIGNAL \tec|Equal40~0_combout\ : std_logic;
361
SIGNAL \tec|Equal113~3_combout\ : std_logic;
362
SIGNAL \tec|Equal117~0_combout\ : std_logic;
363
SIGNAL \tec|Equal8~2_combout\ : std_logic;
364
SIGNAL \tec|Selector3~1_combout\ : std_logic;
365
SIGNAL \tec|Equal128~0_combout\ : std_logic;
366
SIGNAL \tec|Equal128~1_combout\ : std_logic;
367
SIGNAL \tec|Equal140~0_combout\ : std_logic;
368
SIGNAL \tec|Equal1~0_combout\ : std_logic;
369
SIGNAL \tec|Equal0~0_combout\ : std_logic;
370
SIGNAL \tec|Equal1~1_combout\ : std_logic;
371
SIGNAL \tec|Equal68~0_combout\ : std_logic;
372
SIGNAL \tec|Selector3~3_combout\ : std_logic;
373
SIGNAL \tec|Equal136~1_combout\ : std_logic;
374
SIGNAL \tec|Equal0~1_combout\ : std_logic;
375
SIGNAL \tec|Selector3~2_combout\ : std_logic;
376
SIGNAL \tec|Equal4~0_combout\ : std_logic;
377
SIGNAL \tec|Equal38~0_combout\ : std_logic;
378
SIGNAL \tec|Selector3~4_combout\ : std_logic;
379
SIGNAL \tec|Equal63~1_combout\ : std_logic;
380
SIGNAL \tec|Equal119~0_combout\ : std_logic;
381
SIGNAL \tec|Equal23~0_combout\ : std_logic;
382
SIGNAL \tec|Equal133~0_combout\ : std_logic;
383
SIGNAL \tec|Equal39~0_combout\ : std_logic;
384
SIGNAL \tec|Selector1~1_combout\ : std_logic;
385
SIGNAL \tec|Selector1~2_combout\ : std_logic;
386
SIGNAL \tec|Selector1~35_combout\ : std_logic;
387
SIGNAL \tec|Equal2~1_combout\ : std_logic;
388
SIGNAL \tec|Equal32~0_combout\ : std_logic;
389
SIGNAL \tec|Equal0~2_combout\ : std_logic;
390
SIGNAL \tec|Equal2~0_combout\ : std_logic;
391
SIGNAL \tec|Equal34~0_combout\ : std_logic;
392
SIGNAL \tec|Selector3~6_combout\ : std_logic;
393
SIGNAL \tec|Equal36~0_combout\ : std_logic;
394
SIGNAL \tec|Equal70~0_combout\ : std_logic;
395
SIGNAL \tec|Equal112~0_combout\ : std_logic;
396
SIGNAL \tec|Equal22~0_combout\ : std_logic;
397
SIGNAL \tec|Equal30~0_combout\ : std_logic;
398
SIGNAL \tec|Selector3~5_combout\ : std_logic;
399
SIGNAL \tec|Equal24~4_combout\ : std_logic;
400
SIGNAL \tec|Equal138~0_combout\ : std_logic;
401
SIGNAL \tec|Equal24~3_combout\ : std_logic;
402
SIGNAL \tec|Selector3~7_combout\ : std_logic;
403
SIGNAL \tec|Selector3~8_combout\ : std_logic;
404
SIGNAL \tec|Equal59~0_combout\ : std_logic;
405
SIGNAL \tec|Equal128~3_combout\ : std_logic;
406
SIGNAL \tec|WideOr6~2_combout\ : std_logic;
407
SIGNAL \tec|Equal3~0_combout\ : std_logic;
408
SIGNAL \tec|WideOr6~1_combout\ : std_logic;
409
SIGNAL \tec|Equal88~2_combout\ : std_logic;
410
SIGNAL \tec|Equal88~3_combout\ : std_logic;
411
SIGNAL \tec|WideOr6~0_combout\ : std_logic;
412
SIGNAL \tec|Equal113~2_combout\ : std_logic;
413
SIGNAL \tec|Equal128~2_combout\ : std_logic;
414
SIGNAL \tec|WideOr6~3_combout\ : std_logic;
415
SIGNAL \tec|WideOr6~4_combout\ : std_logic;
416
SIGNAL \tec|WideNor0~28_combout\ : std_logic;
417
SIGNAL \tec|WideNor0~34_combout\ : std_logic;
418
SIGNAL \tec|WideNor0~29_combout\ : std_logic;
419
SIGNAL \tec|WideNor0~17_combout\ : std_logic;
420
SIGNAL \tec|WideNor0~18_combout\ : std_logic;
421
SIGNAL \tec|WideNor0~21_combout\ : std_logic;
422
SIGNAL \tec|WideNor0~22_combout\ : std_logic;
423
SIGNAL \tec|WideNor0~20_combout\ : std_logic;
424
SIGNAL \tec|WideNor0~23_combout\ : std_logic;
425
SIGNAL \tec|WideNor0~19_combout\ : std_logic;
426
SIGNAL \tec|WideNor0~33_combout\ : std_logic;
427
SIGNAL \tec|WideNor0~24_combout\ : std_logic;
428
SIGNAL \tec|WideNor0~25_combout\ : std_logic;
429
SIGNAL \tec|WideNor0~32_combout\ : std_logic;
430
SIGNAL \tec|WideNor0~16_combout\ : std_logic;
431
SIGNAL \tec|WideNor0~26_combout\ : std_logic;
432
SIGNAL \tec|WideNor0~11_combout\ : std_logic;
433
SIGNAL \tec|WideNor0~10_combout\ : std_logic;
434
SIGNAL \tec|WideNor0~9_combout\ : std_logic;
435
SIGNAL \tec|WideNor0~8_combout\ : std_logic;
436
SIGNAL \tec|WideNor0~12_combout\ : std_logic;
437
SIGNAL \tec|WideNor0~31_combout\ : std_logic;
438
SIGNAL \tec|WideNor0~15_combout\ : std_logic;
439
SIGNAL \tec|WideNor0~13_combout\ : std_logic;
440
SIGNAL \tec|Equal26~0_combout\ : std_logic;
441
SIGNAL \tec|Equal2~2_combout\ : std_logic;
442
SIGNAL \tec|WideNor0~14_combout\ : std_logic;
443
SIGNAL \tec|WideNor0~27_combout\ : std_logic;
444
SIGNAL \tec|dio~41_combout\ : std_logic;
445
SIGNAL \tec|dio~39_combout\ : std_logic;
446
SIGNAL \tec|Equal9~0_combout\ : std_logic;
447
SIGNAL \tec|dio~40_combout\ : std_logic;
448
SIGNAL \tec|Equal88~4_combout\ : std_logic;
449
SIGNAL \tec|dio~42_combout\ : std_logic;
450
SIGNAL \tec|Equal128~4_combout\ : std_logic;
451
SIGNAL \tec|dio~43_combout\ : std_logic;
452
SIGNAL \tec|dio~46_combout\ : std_logic;
453
SIGNAL \tec|dio~16_combout\ : std_logic;
454
SIGNAL \tec|dio~17_combout\ : std_logic;
455
SIGNAL \tec|dio~18_combout\ : std_logic;
456
SIGNAL \tec|Equal66~0_combout\ : std_logic;
457
SIGNAL \tec|dio~21_combout\ : std_logic;
458
SIGNAL \tec|dio~19_combout\ : std_logic;
459
SIGNAL \tec|dio~22_combout\ : std_logic;
460
SIGNAL \tec|dio~20_combout\ : std_logic;
461
SIGNAL \tec|dio~23_combout\ : std_logic;
462
SIGNAL \tec|Equal122~0_combout\ : std_logic;
463
SIGNAL \tec|dio~26_combout\ : std_logic;
464
SIGNAL \tec|dio~47_combout\ : std_logic;
465
SIGNAL \tec|dio~24_combout\ : std_logic;
466
SIGNAL \tec|dio~48_combout\ : std_logic;
467
SIGNAL \tec|Equal18~2_combout\ : std_logic;
468
SIGNAL \tec|Equal10~1_combout\ : std_logic;
469
SIGNAL \tec|dio~25_combout\ : std_logic;
470
SIGNAL \tec|dio~27_combout\ : std_logic;
471
SIGNAL \tec|Equal54~2_combout\ : std_logic;
472
SIGNAL \tec|dio~36_combout\ : std_logic;
473
SIGNAL \tec|Equal28~0_combout\ : std_logic;
474
SIGNAL \tec|dio~35_combout\ : std_logic;
475
SIGNAL \tec|dio~34_combout\ : std_logic;
476
SIGNAL \tec|Equal52~0_combout\ : std_logic;
477
SIGNAL \tec|dio~37_combout\ : std_logic;
478
SIGNAL \tec|dio~31_combout\ : std_logic;
479
SIGNAL \tec|dio~32_combout\ : std_logic;
480
SIGNAL \tec|dio~50_combout\ : std_logic;
481
SIGNAL \tec|dio~49_combout\ : std_logic;
482
SIGNAL \tec|dio~28_combout\ : std_logic;
483
SIGNAL \tec|Equal20~0_combout\ : std_logic;
484
SIGNAL \tec|Equal20~1_combout\ : std_logic;
485
SIGNAL \tec|Equal20~2_combout\ : std_logic;
486
SIGNAL \tec|dio~29_combout\ : std_logic;
487
SIGNAL \tec|Equal48~0_combout\ : std_logic;
488
SIGNAL \tec|dio~30_combout\ : std_logic;
489
SIGNAL \tec|dio~33_combout\ : std_logic;
490
SIGNAL \tec|dio~38_combout\ : std_logic;
491
SIGNAL \tec|dio~44_combout\ : std_logic;
492
SIGNAL \tec|WideNor0~30_combout\ : std_logic;
493
SIGNAL \tec|dio~45_combout\ : std_logic;
494
SIGNAL \tec|dio~reg0_q\ : std_logic;
495
SIGNAL \tec|dio~en_q\ : std_logic;
496
SIGNAL \tec|Equal136~0_combout\ : std_logic;
497
SIGNAL \tec|Selector3~0_combout\ : std_logic;
498
SIGNAL \tec|Selector3~9_combout\ : std_logic;
499
SIGNAL \tec|Selector3~10_combout\ : std_logic;
500
SIGNAL \tec|clk~q\ : std_logic;
501
SIGNAL \dc|d1000Next\ : std_logic_vector(3 DOWNTO 0);
502
SIGNAL \dc|d100Next\ : std_logic_vector(3 DOWNTO 0);
503
SIGNAL \dc|d10Next\ : std_logic_vector(3 DOWNTO 0);
504
SIGNAL \tec|reg_digit2\ : std_logic_vector(3 DOWNTO 0);
505
SIGNAL \tec|reg_digit3\ : std_logic_vector(3 DOWNTO 0);
506
SIGNAL \dc|d100Curr\ : std_logic_vector(3 DOWNTO 0);
507
SIGNAL \tec|sm_counter\ : std_logic_vector(31 DOWNTO 0);
508
SIGNAL \dc|clkdiv\ : std_logic_vector(11 DOWNTO 0);
509
SIGNAL \dc|d1Next\ : std_logic_vector(3 DOWNTO 0);
510
SIGNAL \tec|reg_digit1\ : std_logic_vector(3 DOWNTO 0);
511
SIGNAL \dc|d1000Curr\ : std_logic_vector(3 DOWNTO 0);
512
SIGNAL \tec|reg_digit0\ : std_logic_vector(3 DOWNTO 0);
513
SIGNAL \dc|d1Curr\ : std_logic_vector(3 DOWNTO 0);
514
SIGNAL \dc|d10Curr\ : std_logic_vector(3 DOWNTO 0);
515
 
516
COMPONENT hard_block
517
    PORT (
518
        devoe : IN std_logic;
519
        devclrn : IN std_logic;
520
        devpor : IN std_logic);
521
END COMPONENT;
522
 
523
BEGIN
524
 
525
ww_clk25 <= clk25;
526
clk <= ww_clk;
527
dio <= ww_dio;
528
ww_devoe <= devoe;
529
ww_devclrn <= devclrn;
530
ww_devpor <= devpor;
531
 
532
\clk25~inputclkctrl_INCLK_bus\ <= (vcc & vcc & vcc & \clk25~input_o\);
533
auto_generated_inst : hard_block
534
PORT MAP (
535
        devoe => ww_devoe,
536
        devclrn => ww_devclrn,
537
        devpor => ww_devpor);
538
 
539
-- Location: IOOBUF_X16_Y24_N16
540
\dio~output\ : cycloneive_io_obuf
541
-- pragma translate_off
542
GENERIC MAP (
543
        bus_hold => "false",
544
        open_drain_output => "false")
545
-- pragma translate_on
546
PORT MAP (
547
        i => \tec|dio~reg0_q\,
548
        oe => \tec|dio~en_q\,
549
        devoe => ww_devoe,
550
        o => \dio~output_o\);
551
 
552
-- Location: IOOBUF_X13_Y24_N16
553
\clk~output\ : cycloneive_io_obuf
554
-- pragma translate_off
555
GENERIC MAP (
556
        bus_hold => "false",
557
        open_drain_output => "false")
558
-- pragma translate_on
559
PORT MAP (
560
        i => \tec|clk~q\,
561
        devoe => ww_devoe,
562
        o => \clk~output_o\);
563
 
564
-- Location: IOIBUF_X0_Y11_N22
565
\clk25~input\ : cycloneive_io_ibuf
566
-- pragma translate_off
567
GENERIC MAP (
568
        bus_hold => "false",
569
        simulate_z_as => "z")
570
-- pragma translate_on
571
PORT MAP (
572
        i => ww_clk25,
573
        o => \clk25~input_o\);
574
 
575
-- Location: CLKCTRL_G3
576
\clk25~inputclkctrl\ : cycloneive_clkctrl
577
-- pragma translate_off
578
GENERIC MAP (
579
        clock_type => "global clock",
580
        ena_register_mode => "none")
581
-- pragma translate_on
582
PORT MAP (
583
        inclk => \clk25~inputclkctrl_INCLK_bus\,
584
        devclrn => ww_devclrn,
585
        devpor => ww_devpor,
586
        outclk => \clk25~inputclkctrl_outclk\);
587
 
588
-- Location: LCCOMB_X17_Y9_N0
589
\tec|Add1~0\ : cycloneive_lcell_comb
590
-- Equation(s):
591
-- \tec|Add1~0_combout\ = \tec|sm_counter\(0) $ (VCC)
592
-- \tec|Add1~1\ = CARRY(\tec|sm_counter\(0))
593
 
594
-- pragma translate_off
595
GENERIC MAP (
596
        lut_mask => "0011001111001100",
597
        sum_lutc_input => "datac")
598
-- pragma translate_on
599
PORT MAP (
600
        datab => \tec|sm_counter\(0),
601
        datad => VCC,
602
        combout => \tec|Add1~0_combout\,
603
        cout => \tec|Add1~1\);
604
 
605
-- Location: LCCOMB_X17_Y9_N2
606
\tec|Add1~2\ : cycloneive_lcell_comb
607
-- Equation(s):
608
-- \tec|Add1~2_combout\ = (\tec|sm_counter\(1) & (!\tec|Add1~1\)) # (!\tec|sm_counter\(1) & ((\tec|Add1~1\) # (GND)))
609
-- \tec|Add1~3\ = CARRY((!\tec|Add1~1\) # (!\tec|sm_counter\(1)))
610
 
611
-- pragma translate_off
612
GENERIC MAP (
613
        lut_mask => "0011110000111111",
614
        sum_lutc_input => "cin")
615
-- pragma translate_on
616
PORT MAP (
617
        datab => \tec|sm_counter\(1),
618
        datad => VCC,
619
        cin => \tec|Add1~1\,
620
        combout => \tec|Add1~2_combout\,
621
        cout => \tec|Add1~3\);
622
 
623
-- Location: LCCOMB_X23_Y13_N6
624
\dc|clkdiv[0]~12\ : cycloneive_lcell_comb
625
-- Equation(s):
626
-- \dc|clkdiv[0]~12_combout\ = \dc|clkdiv\(0) $ (VCC)
627
-- \dc|clkdiv[0]~13\ = CARRY(\dc|clkdiv\(0))
628
 
629
-- pragma translate_off
630
GENERIC MAP (
631
        lut_mask => "0101010110101010",
632
        sum_lutc_input => "datac")
633
-- pragma translate_on
634
PORT MAP (
635
        dataa => \dc|clkdiv\(0),
636
        datad => VCC,
637
        combout => \dc|clkdiv[0]~12_combout\,
638
        cout => \dc|clkdiv[0]~13\);
639
 
640
-- Location: FF_X23_Y13_N7
641
\dc|clkdiv[0]\ : dffeas
642
-- pragma translate_off
643
GENERIC MAP (
644
        is_wysiwyg => "true",
645
        power_up => "low")
646
-- pragma translate_on
647
PORT MAP (
648
        clk => \clk25~inputclkctrl_outclk\,
649
        d => \dc|clkdiv[0]~12_combout\,
650
        sclr => \dc|LessThan0~3_combout\,
651
        devclrn => ww_devclrn,
652
        devpor => ww_devpor,
653
        q => \dc|clkdiv\(0));
654
 
655
-- Location: LCCOMB_X23_Y13_N8
656
\dc|clkdiv[1]~14\ : cycloneive_lcell_comb
657
-- Equation(s):
658
-- \dc|clkdiv[1]~14_combout\ = (\dc|clkdiv\(1) & (!\dc|clkdiv[0]~13\)) # (!\dc|clkdiv\(1) & ((\dc|clkdiv[0]~13\) # (GND)))
659
-- \dc|clkdiv[1]~15\ = CARRY((!\dc|clkdiv[0]~13\) # (!\dc|clkdiv\(1)))
660
 
661
-- pragma translate_off
662
GENERIC MAP (
663
        lut_mask => "0011110000111111",
664
        sum_lutc_input => "cin")
665
-- pragma translate_on
666
PORT MAP (
667
        datab => \dc|clkdiv\(1),
668
        datad => VCC,
669
        cin => \dc|clkdiv[0]~13\,
670
        combout => \dc|clkdiv[1]~14_combout\,
671
        cout => \dc|clkdiv[1]~15\);
672
 
673
-- Location: FF_X23_Y13_N9
674
\dc|clkdiv[1]\ : dffeas
675
-- pragma translate_off
676
GENERIC MAP (
677
        is_wysiwyg => "true",
678
        power_up => "low")
679
-- pragma translate_on
680
PORT MAP (
681
        clk => \clk25~inputclkctrl_outclk\,
682
        d => \dc|clkdiv[1]~14_combout\,
683
        sclr => \dc|LessThan0~3_combout\,
684
        devclrn => ww_devclrn,
685
        devpor => ww_devpor,
686
        q => \dc|clkdiv\(1));
687
 
688
-- Location: LCCOMB_X23_Y13_N10
689
\dc|clkdiv[2]~16\ : cycloneive_lcell_comb
690
-- Equation(s):
691
-- \dc|clkdiv[2]~16_combout\ = (\dc|clkdiv\(2) & (\dc|clkdiv[1]~15\ $ (GND))) # (!\dc|clkdiv\(2) & (!\dc|clkdiv[1]~15\ & VCC))
692
-- \dc|clkdiv[2]~17\ = CARRY((\dc|clkdiv\(2) & !\dc|clkdiv[1]~15\))
693
 
694
-- pragma translate_off
695
GENERIC MAP (
696
        lut_mask => "1010010100001010",
697
        sum_lutc_input => "cin")
698
-- pragma translate_on
699
PORT MAP (
700
        dataa => \dc|clkdiv\(2),
701
        datad => VCC,
702
        cin => \dc|clkdiv[1]~15\,
703
        combout => \dc|clkdiv[2]~16_combout\,
704
        cout => \dc|clkdiv[2]~17\);
705
 
706
-- Location: FF_X23_Y13_N11
707
\dc|clkdiv[2]\ : dffeas
708
-- pragma translate_off
709
GENERIC MAP (
710
        is_wysiwyg => "true",
711
        power_up => "low")
712
-- pragma translate_on
713
PORT MAP (
714
        clk => \clk25~inputclkctrl_outclk\,
715
        d => \dc|clkdiv[2]~16_combout\,
716
        sclr => \dc|LessThan0~3_combout\,
717
        devclrn => ww_devclrn,
718
        devpor => ww_devpor,
719
        q => \dc|clkdiv\(2));
720
 
721
-- Location: LCCOMB_X23_Y13_N12
722
\dc|clkdiv[3]~18\ : cycloneive_lcell_comb
723
-- Equation(s):
724
-- \dc|clkdiv[3]~18_combout\ = (\dc|clkdiv\(3) & (!\dc|clkdiv[2]~17\)) # (!\dc|clkdiv\(3) & ((\dc|clkdiv[2]~17\) # (GND)))
725
-- \dc|clkdiv[3]~19\ = CARRY((!\dc|clkdiv[2]~17\) # (!\dc|clkdiv\(3)))
726
 
727
-- pragma translate_off
728
GENERIC MAP (
729
        lut_mask => "0101101001011111",
730
        sum_lutc_input => "cin")
731
-- pragma translate_on
732
PORT MAP (
733
        dataa => \dc|clkdiv\(3),
734
        datad => VCC,
735
        cin => \dc|clkdiv[2]~17\,
736
        combout => \dc|clkdiv[3]~18_combout\,
737
        cout => \dc|clkdiv[3]~19\);
738
 
739
-- Location: FF_X23_Y13_N13
740
\dc|clkdiv[3]\ : dffeas
741
-- pragma translate_off
742
GENERIC MAP (
743
        is_wysiwyg => "true",
744
        power_up => "low")
745
-- pragma translate_on
746
PORT MAP (
747
        clk => \clk25~inputclkctrl_outclk\,
748
        d => \dc|clkdiv[3]~18_combout\,
749
        sclr => \dc|LessThan0~3_combout\,
750
        devclrn => ww_devclrn,
751
        devpor => ww_devpor,
752
        q => \dc|clkdiv\(3));
753
 
754
-- Location: LCCOMB_X23_Y13_N4
755
\dc|LessThan0~1\ : cycloneive_lcell_comb
756
-- Equation(s):
757
-- \dc|LessThan0~1_combout\ = (!\dc|clkdiv\(2) & (!\dc|clkdiv\(3) & ((!\dc|clkdiv\(0)) # (!\dc|clkdiv\(1)))))
758
 
759
-- pragma translate_off
760
GENERIC MAP (
761
        lut_mask => "0000000100010001",
762
        sum_lutc_input => "datac")
763
-- pragma translate_on
764
PORT MAP (
765
        dataa => \dc|clkdiv\(2),
766
        datab => \dc|clkdiv\(3),
767
        datac => \dc|clkdiv\(1),
768
        datad => \dc|clkdiv\(0),
769
        combout => \dc|LessThan0~1_combout\);
770
 
771
-- Location: LCCOMB_X23_Y13_N14
772
\dc|clkdiv[4]~20\ : cycloneive_lcell_comb
773
-- Equation(s):
774
-- \dc|clkdiv[4]~20_combout\ = (\dc|clkdiv\(4) & (\dc|clkdiv[3]~19\ $ (GND))) # (!\dc|clkdiv\(4) & (!\dc|clkdiv[3]~19\ & VCC))
775
-- \dc|clkdiv[4]~21\ = CARRY((\dc|clkdiv\(4) & !\dc|clkdiv[3]~19\))
776
 
777
-- pragma translate_off
778
GENERIC MAP (
779
        lut_mask => "1100001100001100",
780
        sum_lutc_input => "cin")
781
-- pragma translate_on
782
PORT MAP (
783
        datab => \dc|clkdiv\(4),
784
        datad => VCC,
785
        cin => \dc|clkdiv[3]~19\,
786
        combout => \dc|clkdiv[4]~20_combout\,
787
        cout => \dc|clkdiv[4]~21\);
788
 
789
-- Location: FF_X23_Y13_N15
790
\dc|clkdiv[4]\ : dffeas
791
-- pragma translate_off
792
GENERIC MAP (
793
        is_wysiwyg => "true",
794
        power_up => "low")
795
-- pragma translate_on
796
PORT MAP (
797
        clk => \clk25~inputclkctrl_outclk\,
798
        d => \dc|clkdiv[4]~20_combout\,
799
        sclr => \dc|LessThan0~3_combout\,
800
        devclrn => ww_devclrn,
801
        devpor => ww_devpor,
802
        q => \dc|clkdiv\(4));
803
 
804
-- Location: LCCOMB_X23_Y13_N16
805
\dc|clkdiv[5]~22\ : cycloneive_lcell_comb
806
-- Equation(s):
807
-- \dc|clkdiv[5]~22_combout\ = (\dc|clkdiv\(5) & (!\dc|clkdiv[4]~21\)) # (!\dc|clkdiv\(5) & ((\dc|clkdiv[4]~21\) # (GND)))
808
-- \dc|clkdiv[5]~23\ = CARRY((!\dc|clkdiv[4]~21\) # (!\dc|clkdiv\(5)))
809
 
810
-- pragma translate_off
811
GENERIC MAP (
812
        lut_mask => "0011110000111111",
813
        sum_lutc_input => "cin")
814
-- pragma translate_on
815
PORT MAP (
816
        datab => \dc|clkdiv\(5),
817
        datad => VCC,
818
        cin => \dc|clkdiv[4]~21\,
819
        combout => \dc|clkdiv[5]~22_combout\,
820
        cout => \dc|clkdiv[5]~23\);
821
 
822
-- Location: FF_X23_Y13_N17
823
\dc|clkdiv[5]\ : dffeas
824
-- pragma translate_off
825
GENERIC MAP (
826
        is_wysiwyg => "true",
827
        power_up => "low")
828
-- pragma translate_on
829
PORT MAP (
830
        clk => \clk25~inputclkctrl_outclk\,
831
        d => \dc|clkdiv[5]~22_combout\,
832
        sclr => \dc|LessThan0~3_combout\,
833
        devclrn => ww_devclrn,
834
        devpor => ww_devpor,
835
        q => \dc|clkdiv\(5));
836
 
837
-- Location: LCCOMB_X23_Y13_N18
838
\dc|clkdiv[6]~24\ : cycloneive_lcell_comb
839
-- Equation(s):
840
-- \dc|clkdiv[6]~24_combout\ = (\dc|clkdiv\(6) & (\dc|clkdiv[5]~23\ $ (GND))) # (!\dc|clkdiv\(6) & (!\dc|clkdiv[5]~23\ & VCC))
841
-- \dc|clkdiv[6]~25\ = CARRY((\dc|clkdiv\(6) & !\dc|clkdiv[5]~23\))
842
 
843
-- pragma translate_off
844
GENERIC MAP (
845
        lut_mask => "1100001100001100",
846
        sum_lutc_input => "cin")
847
-- pragma translate_on
848
PORT MAP (
849
        datab => \dc|clkdiv\(6),
850
        datad => VCC,
851
        cin => \dc|clkdiv[5]~23\,
852
        combout => \dc|clkdiv[6]~24_combout\,
853
        cout => \dc|clkdiv[6]~25\);
854
 
855
-- Location: FF_X23_Y13_N19
856
\dc|clkdiv[6]\ : dffeas
857
-- pragma translate_off
858
GENERIC MAP (
859
        is_wysiwyg => "true",
860
        power_up => "low")
861
-- pragma translate_on
862
PORT MAP (
863
        clk => \clk25~inputclkctrl_outclk\,
864
        d => \dc|clkdiv[6]~24_combout\,
865
        sclr => \dc|LessThan0~3_combout\,
866
        devclrn => ww_devclrn,
867
        devpor => ww_devpor,
868
        q => \dc|clkdiv\(6));
869
 
870
-- Location: LCCOMB_X23_Y13_N20
871
\dc|clkdiv[7]~26\ : cycloneive_lcell_comb
872
-- Equation(s):
873
-- \dc|clkdiv[7]~26_combout\ = (\dc|clkdiv\(7) & (!\dc|clkdiv[6]~25\)) # (!\dc|clkdiv\(7) & ((\dc|clkdiv[6]~25\) # (GND)))
874
-- \dc|clkdiv[7]~27\ = CARRY((!\dc|clkdiv[6]~25\) # (!\dc|clkdiv\(7)))
875
 
876
-- pragma translate_off
877
GENERIC MAP (
878
        lut_mask => "0011110000111111",
879
        sum_lutc_input => "cin")
880
-- pragma translate_on
881
PORT MAP (
882
        datab => \dc|clkdiv\(7),
883
        datad => VCC,
884
        cin => \dc|clkdiv[6]~25\,
885
        combout => \dc|clkdiv[7]~26_combout\,
886
        cout => \dc|clkdiv[7]~27\);
887
 
888
-- Location: FF_X23_Y13_N21
889
\dc|clkdiv[7]\ : dffeas
890
-- pragma translate_off
891
GENERIC MAP (
892
        is_wysiwyg => "true",
893
        power_up => "low")
894
-- pragma translate_on
895
PORT MAP (
896
        clk => \clk25~inputclkctrl_outclk\,
897
        d => \dc|clkdiv[7]~26_combout\,
898
        sclr => \dc|LessThan0~3_combout\,
899
        devclrn => ww_devclrn,
900
        devpor => ww_devpor,
901
        q => \dc|clkdiv\(7));
902
 
903
-- Location: LCCOMB_X23_Y13_N22
904
\dc|clkdiv[8]~28\ : cycloneive_lcell_comb
905
-- Equation(s):
906
-- \dc|clkdiv[8]~28_combout\ = (\dc|clkdiv\(8) & (\dc|clkdiv[7]~27\ $ (GND))) # (!\dc|clkdiv\(8) & (!\dc|clkdiv[7]~27\ & VCC))
907
-- \dc|clkdiv[8]~29\ = CARRY((\dc|clkdiv\(8) & !\dc|clkdiv[7]~27\))
908
 
909
-- pragma translate_off
910
GENERIC MAP (
911
        lut_mask => "1010010100001010",
912
        sum_lutc_input => "cin")
913
-- pragma translate_on
914
PORT MAP (
915
        dataa => \dc|clkdiv\(8),
916
        datad => VCC,
917
        cin => \dc|clkdiv[7]~27\,
918
        combout => \dc|clkdiv[8]~28_combout\,
919
        cout => \dc|clkdiv[8]~29\);
920
 
921
-- Location: FF_X23_Y13_N23
922
\dc|clkdiv[8]\ : dffeas
923
-- pragma translate_off
924
GENERIC MAP (
925
        is_wysiwyg => "true",
926
        power_up => "low")
927
-- pragma translate_on
928
PORT MAP (
929
        clk => \clk25~inputclkctrl_outclk\,
930
        d => \dc|clkdiv[8]~28_combout\,
931
        sclr => \dc|LessThan0~3_combout\,
932
        devclrn => ww_devclrn,
933
        devpor => ww_devpor,
934
        q => \dc|clkdiv\(8));
935
 
936
-- Location: LCCOMB_X23_Y13_N2
937
\dc|LessThan0~0\ : cycloneive_lcell_comb
938
-- Equation(s):
939
-- \dc|LessThan0~0_combout\ = ((!\dc|clkdiv\(6)) # (!\dc|clkdiv\(8))) # (!\dc|clkdiv\(7))
940
 
941
-- pragma translate_off
942
GENERIC MAP (
943
        lut_mask => "0011111111111111",
944
        sum_lutc_input => "datac")
945
-- pragma translate_on
946
PORT MAP (
947
        datab => \dc|clkdiv\(7),
948
        datac => \dc|clkdiv\(8),
949
        datad => \dc|clkdiv\(6),
950
        combout => \dc|LessThan0~0_combout\);
951
 
952
-- Location: LCCOMB_X23_Y13_N30
953
\dc|LessThan0~2\ : cycloneive_lcell_comb
954
-- Equation(s):
955
-- \dc|LessThan0~2_combout\ = (\dc|LessThan0~0_combout\) # ((\dc|LessThan0~1_combout\ & (!\dc|clkdiv\(4) & !\dc|clkdiv\(5))))
956
 
957
-- pragma translate_off
958
GENERIC MAP (
959
        lut_mask => "1100110011001110",
960
        sum_lutc_input => "datac")
961
-- pragma translate_on
962
PORT MAP (
963
        dataa => \dc|LessThan0~1_combout\,
964
        datab => \dc|LessThan0~0_combout\,
965
        datac => \dc|clkdiv\(4),
966
        datad => \dc|clkdiv\(5),
967
        combout => \dc|LessThan0~2_combout\);
968
 
969
-- Location: LCCOMB_X23_Y13_N24
970
\dc|clkdiv[9]~30\ : cycloneive_lcell_comb
971
-- Equation(s):
972
-- \dc|clkdiv[9]~30_combout\ = (\dc|clkdiv\(9) & (!\dc|clkdiv[8]~29\)) # (!\dc|clkdiv\(9) & ((\dc|clkdiv[8]~29\) # (GND)))
973
-- \dc|clkdiv[9]~31\ = CARRY((!\dc|clkdiv[8]~29\) # (!\dc|clkdiv\(9)))
974
 
975
-- pragma translate_off
976
GENERIC MAP (
977
        lut_mask => "0011110000111111",
978
        sum_lutc_input => "cin")
979
-- pragma translate_on
980
PORT MAP (
981
        datab => \dc|clkdiv\(9),
982
        datad => VCC,
983
        cin => \dc|clkdiv[8]~29\,
984
        combout => \dc|clkdiv[9]~30_combout\,
985
        cout => \dc|clkdiv[9]~31\);
986
 
987
-- Location: FF_X23_Y13_N25
988
\dc|clkdiv[9]\ : dffeas
989
-- pragma translate_off
990
GENERIC MAP (
991
        is_wysiwyg => "true",
992
        power_up => "low")
993
-- pragma translate_on
994
PORT MAP (
995
        clk => \clk25~inputclkctrl_outclk\,
996
        d => \dc|clkdiv[9]~30_combout\,
997
        sclr => \dc|LessThan0~3_combout\,
998
        devclrn => ww_devclrn,
999
        devpor => ww_devpor,
1000
        q => \dc|clkdiv\(9));
1001
 
1002
-- Location: LCCOMB_X23_Y13_N26
1003
\dc|clkdiv[10]~32\ : cycloneive_lcell_comb
1004
-- Equation(s):
1005
-- \dc|clkdiv[10]~32_combout\ = (\dc|clkdiv\(10) & (\dc|clkdiv[9]~31\ $ (GND))) # (!\dc|clkdiv\(10) & (!\dc|clkdiv[9]~31\ & VCC))
1006
-- \dc|clkdiv[10]~33\ = CARRY((\dc|clkdiv\(10) & !\dc|clkdiv[9]~31\))
1007
 
1008
-- pragma translate_off
1009
GENERIC MAP (
1010
        lut_mask => "1010010100001010",
1011
        sum_lutc_input => "cin")
1012
-- pragma translate_on
1013
PORT MAP (
1014
        dataa => \dc|clkdiv\(10),
1015
        datad => VCC,
1016
        cin => \dc|clkdiv[9]~31\,
1017
        combout => \dc|clkdiv[10]~32_combout\,
1018
        cout => \dc|clkdiv[10]~33\);
1019
 
1020
-- Location: FF_X23_Y13_N27
1021
\dc|clkdiv[10]\ : dffeas
1022
-- pragma translate_off
1023
GENERIC MAP (
1024
        is_wysiwyg => "true",
1025
        power_up => "low")
1026
-- pragma translate_on
1027
PORT MAP (
1028
        clk => \clk25~inputclkctrl_outclk\,
1029
        d => \dc|clkdiv[10]~32_combout\,
1030
        sclr => \dc|LessThan0~3_combout\,
1031
        devclrn => ww_devclrn,
1032
        devpor => ww_devpor,
1033
        q => \dc|clkdiv\(10));
1034
 
1035
-- Location: LCCOMB_X23_Y13_N28
1036
\dc|clkdiv[11]~34\ : cycloneive_lcell_comb
1037
-- Equation(s):
1038
-- \dc|clkdiv[11]~34_combout\ = \dc|clkdiv[10]~33\ $ (\dc|clkdiv\(11))
1039
 
1040
-- pragma translate_off
1041
GENERIC MAP (
1042
        lut_mask => "0000111111110000",
1043
        sum_lutc_input => "cin")
1044
-- pragma translate_on
1045
PORT MAP (
1046
        datad => \dc|clkdiv\(11),
1047
        cin => \dc|clkdiv[10]~33\,
1048
        combout => \dc|clkdiv[11]~34_combout\);
1049
 
1050
-- Location: FF_X23_Y13_N29
1051
\dc|clkdiv[11]\ : dffeas
1052
-- pragma translate_off
1053
GENERIC MAP (
1054
        is_wysiwyg => "true",
1055
        power_up => "low")
1056
-- pragma translate_on
1057
PORT MAP (
1058
        clk => \clk25~inputclkctrl_outclk\,
1059
        d => \dc|clkdiv[11]~34_combout\,
1060
        sclr => \dc|LessThan0~3_combout\,
1061
        devclrn => ww_devclrn,
1062
        devpor => ww_devpor,
1063
        q => \dc|clkdiv\(11));
1064
 
1065
-- Location: LCCOMB_X23_Y13_N0
1066
\dc|LessThan0~3\ : cycloneive_lcell_comb
1067
-- Equation(s):
1068
-- \dc|LessThan0~3_combout\ = (\dc|clkdiv\(11) & (((\dc|clkdiv\(10)) # (\dc|clkdiv\(9))) # (!\dc|LessThan0~2_combout\)))
1069
 
1070
-- pragma translate_off
1071
GENERIC MAP (
1072
        lut_mask => "1100110011000100",
1073
        sum_lutc_input => "datac")
1074
-- pragma translate_on
1075
PORT MAP (
1076
        dataa => \dc|LessThan0~2_combout\,
1077
        datab => \dc|clkdiv\(11),
1078
        datac => \dc|clkdiv\(10),
1079
        datad => \dc|clkdiv\(9),
1080
        combout => \dc|LessThan0~3_combout\);
1081
 
1082
-- Location: FF_X23_Y13_N1
1083
\dc|ce\ : dffeas
1084
-- pragma translate_off
1085
GENERIC MAP (
1086
        is_wysiwyg => "true",
1087
        power_up => "low")
1088
-- pragma translate_on
1089
PORT MAP (
1090
        clk => \clk25~inputclkctrl_outclk\,
1091
        d => \dc|LessThan0~3_combout\,
1092
        devclrn => ww_devclrn,
1093
        devpor => ww_devpor,
1094
        q => \dc|ce~q\);
1095
 
1096
-- Location: FF_X17_Y9_N3
1097
\tec|sm_counter[1]\ : dffeas
1098
-- pragma translate_off
1099
GENERIC MAP (
1100
        is_wysiwyg => "true",
1101
        power_up => "low")
1102
-- pragma translate_on
1103
PORT MAP (
1104
        clk => \clk25~inputclkctrl_outclk\,
1105
        d => \tec|Add1~2_combout\,
1106
        ena => \dc|ce~q\,
1107
        devclrn => ww_devclrn,
1108
        devpor => ww_devpor,
1109
        q => \tec|sm_counter\(1));
1110
 
1111
-- Location: LCCOMB_X17_Y9_N4
1112
\tec|Add1~4\ : cycloneive_lcell_comb
1113
-- Equation(s):
1114
-- \tec|Add1~4_combout\ = (\tec|sm_counter\(2) & (\tec|Add1~3\ $ (GND))) # (!\tec|sm_counter\(2) & (!\tec|Add1~3\ & VCC))
1115
-- \tec|Add1~5\ = CARRY((\tec|sm_counter\(2) & !\tec|Add1~3\))
1116
 
1117
-- pragma translate_off
1118
GENERIC MAP (
1119
        lut_mask => "1100001100001100",
1120
        sum_lutc_input => "cin")
1121
-- pragma translate_on
1122
PORT MAP (
1123
        datab => \tec|sm_counter\(2),
1124
        datad => VCC,
1125
        cin => \tec|Add1~3\,
1126
        combout => \tec|Add1~4_combout\,
1127
        cout => \tec|Add1~5\);
1128
 
1129
-- Location: FF_X17_Y9_N5
1130
\tec|sm_counter[2]\ : dffeas
1131
-- pragma translate_off
1132
GENERIC MAP (
1133
        is_wysiwyg => "true",
1134
        power_up => "low")
1135
-- pragma translate_on
1136
PORT MAP (
1137
        clk => \clk25~inputclkctrl_outclk\,
1138
        d => \tec|Add1~4_combout\,
1139
        ena => \dc|ce~q\,
1140
        devclrn => ww_devclrn,
1141
        devpor => ww_devpor,
1142
        q => \tec|sm_counter\(2));
1143
 
1144
-- Location: LCCOMB_X17_Y9_N6
1145
\tec|Add1~6\ : cycloneive_lcell_comb
1146
-- Equation(s):
1147
-- \tec|Add1~6_combout\ = (\tec|sm_counter\(3) & (!\tec|Add1~5\)) # (!\tec|sm_counter\(3) & ((\tec|Add1~5\) # (GND)))
1148
-- \tec|Add1~7\ = CARRY((!\tec|Add1~5\) # (!\tec|sm_counter\(3)))
1149
 
1150
-- pragma translate_off
1151
GENERIC MAP (
1152
        lut_mask => "0101101001011111",
1153
        sum_lutc_input => "cin")
1154
-- pragma translate_on
1155
PORT MAP (
1156
        dataa => \tec|sm_counter\(3),
1157
        datad => VCC,
1158
        cin => \tec|Add1~5\,
1159
        combout => \tec|Add1~6_combout\,
1160
        cout => \tec|Add1~7\);
1161
 
1162
-- Location: FF_X17_Y9_N7
1163
\tec|sm_counter[3]\ : dffeas
1164
-- pragma translate_off
1165
GENERIC MAP (
1166
        is_wysiwyg => "true",
1167
        power_up => "low")
1168
-- pragma translate_on
1169
PORT MAP (
1170
        clk => \clk25~inputclkctrl_outclk\,
1171
        d => \tec|Add1~6_combout\,
1172
        ena => \dc|ce~q\,
1173
        devclrn => ww_devclrn,
1174
        devpor => ww_devpor,
1175
        q => \tec|sm_counter\(3));
1176
 
1177
-- Location: LCCOMB_X17_Y9_N8
1178
\tec|Add1~8\ : cycloneive_lcell_comb
1179
-- Equation(s):
1180
-- \tec|Add1~8_combout\ = (\tec|sm_counter\(4) & (\tec|Add1~7\ $ (GND))) # (!\tec|sm_counter\(4) & (!\tec|Add1~7\ & VCC))
1181
-- \tec|Add1~9\ = CARRY((\tec|sm_counter\(4) & !\tec|Add1~7\))
1182
 
1183
-- pragma translate_off
1184
GENERIC MAP (
1185
        lut_mask => "1100001100001100",
1186
        sum_lutc_input => "cin")
1187
-- pragma translate_on
1188
PORT MAP (
1189
        datab => \tec|sm_counter\(4),
1190
        datad => VCC,
1191
        cin => \tec|Add1~7\,
1192
        combout => \tec|Add1~8_combout\,
1193
        cout => \tec|Add1~9\);
1194
 
1195
-- Location: LCCOMB_X18_Y17_N30
1196
\tec|Equal0~3\ : cycloneive_lcell_comb
1197
-- Equation(s):
1198
-- \tec|Equal0~3_combout\ = (!\tec|sm_counter\(2) & (!\tec|sm_counter\(1) & !\tec|sm_counter\(0)))
1199
 
1200
-- pragma translate_off
1201
GENERIC MAP (
1202
        lut_mask => "0000000100000001",
1203
        sum_lutc_input => "datac")
1204
-- pragma translate_on
1205
PORT MAP (
1206
        dataa => \tec|sm_counter\(2),
1207
        datab => \tec|sm_counter\(1),
1208
        datac => \tec|sm_counter\(0),
1209
        combout => \tec|Equal0~3_combout\);
1210
 
1211
-- Location: LCCOMB_X17_Y16_N16
1212
\tec|Equal19~0\ : cycloneive_lcell_comb
1213
-- Equation(s):
1214
-- \tec|Equal19~0_combout\ = (\tec|sm_counter\(4) & !\tec|sm_counter\(3))
1215
 
1216
-- pragma translate_off
1217
GENERIC MAP (
1218
        lut_mask => "0000110000001100",
1219
        sum_lutc_input => "datac")
1220
-- pragma translate_on
1221
PORT MAP (
1222
        datab => \tec|sm_counter\(4),
1223
        datac => \tec|sm_counter\(3),
1224
        combout => \tec|Equal19~0_combout\);
1225
 
1226
-- Location: LCCOMB_X16_Y9_N18
1227
\tec|sm_counter~4\ : cycloneive_lcell_comb
1228
-- Equation(s):
1229
-- \tec|sm_counter~4_combout\ = (\tec|Add1~8_combout\ & (((!\tec|Equal19~0_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal142~8_combout\)))
1230
 
1231
-- pragma translate_off
1232
GENERIC MAP (
1233
        lut_mask => "0100110011001100",
1234
        sum_lutc_input => "datac")
1235
-- pragma translate_on
1236
PORT MAP (
1237
        dataa => \tec|Equal142~8_combout\,
1238
        datab => \tec|Add1~8_combout\,
1239
        datac => \tec|Equal0~3_combout\,
1240
        datad => \tec|Equal19~0_combout\,
1241
        combout => \tec|sm_counter~4_combout\);
1242
 
1243
-- Location: FF_X16_Y9_N19
1244
\tec|sm_counter[4]\ : dffeas
1245
-- pragma translate_off
1246
GENERIC MAP (
1247
        is_wysiwyg => "true",
1248
        power_up => "low")
1249
-- pragma translate_on
1250
PORT MAP (
1251
        clk => \clk25~inputclkctrl_outclk\,
1252
        d => \tec|sm_counter~4_combout\,
1253
        ena => \dc|ce~q\,
1254
        devclrn => ww_devclrn,
1255
        devpor => ww_devpor,
1256
        q => \tec|sm_counter\(4));
1257
 
1258
-- Location: LCCOMB_X17_Y9_N10
1259
\tec|Add1~10\ : cycloneive_lcell_comb
1260
-- Equation(s):
1261
-- \tec|Add1~10_combout\ = (\tec|sm_counter\(5) & (!\tec|Add1~9\)) # (!\tec|sm_counter\(5) & ((\tec|Add1~9\) # (GND)))
1262
-- \tec|Add1~11\ = CARRY((!\tec|Add1~9\) # (!\tec|sm_counter\(5)))
1263
 
1264
-- pragma translate_off
1265
GENERIC MAP (
1266
        lut_mask => "0101101001011111",
1267
        sum_lutc_input => "cin")
1268
-- pragma translate_on
1269
PORT MAP (
1270
        dataa => \tec|sm_counter\(5),
1271
        datad => VCC,
1272
        cin => \tec|Add1~9\,
1273
        combout => \tec|Add1~10_combout\,
1274
        cout => \tec|Add1~11\);
1275
 
1276
-- Location: FF_X17_Y9_N11
1277
\tec|sm_counter[5]\ : dffeas
1278
-- pragma translate_off
1279
GENERIC MAP (
1280
        is_wysiwyg => "true",
1281
        power_up => "low")
1282
-- pragma translate_on
1283
PORT MAP (
1284
        clk => \clk25~inputclkctrl_outclk\,
1285
        d => \tec|Add1~10_combout\,
1286
        ena => \dc|ce~q\,
1287
        devclrn => ww_devclrn,
1288
        devpor => ww_devpor,
1289
        q => \tec|sm_counter\(5));
1290
 
1291
-- Location: LCCOMB_X17_Y9_N12
1292
\tec|Add1~12\ : cycloneive_lcell_comb
1293
-- Equation(s):
1294
-- \tec|Add1~12_combout\ = (\tec|sm_counter\(6) & (\tec|Add1~11\ $ (GND))) # (!\tec|sm_counter\(6) & (!\tec|Add1~11\ & VCC))
1295
-- \tec|Add1~13\ = CARRY((\tec|sm_counter\(6) & !\tec|Add1~11\))
1296
 
1297
-- pragma translate_off
1298
GENERIC MAP (
1299
        lut_mask => "1010010100001010",
1300
        sum_lutc_input => "cin")
1301
-- pragma translate_on
1302
PORT MAP (
1303
        dataa => \tec|sm_counter\(6),
1304
        datad => VCC,
1305
        cin => \tec|Add1~11\,
1306
        combout => \tec|Add1~12_combout\,
1307
        cout => \tec|Add1~13\);
1308
 
1309
-- Location: FF_X17_Y9_N13
1310
\tec|sm_counter[6]\ : dffeas
1311
-- pragma translate_off
1312
GENERIC MAP (
1313
        is_wysiwyg => "true",
1314
        power_up => "low")
1315
-- pragma translate_on
1316
PORT MAP (
1317
        clk => \clk25~inputclkctrl_outclk\,
1318
        d => \tec|Add1~12_combout\,
1319
        ena => \dc|ce~q\,
1320
        devclrn => ww_devclrn,
1321
        devpor => ww_devpor,
1322
        q => \tec|sm_counter\(6));
1323
 
1324
-- Location: LCCOMB_X17_Y9_N14
1325
\tec|Add1~14\ : cycloneive_lcell_comb
1326
-- Equation(s):
1327
-- \tec|Add1~14_combout\ = (\tec|sm_counter\(7) & (!\tec|Add1~13\)) # (!\tec|sm_counter\(7) & ((\tec|Add1~13\) # (GND)))
1328
-- \tec|Add1~15\ = CARRY((!\tec|Add1~13\) # (!\tec|sm_counter\(7)))
1329
 
1330
-- pragma translate_off
1331
GENERIC MAP (
1332
        lut_mask => "0011110000111111",
1333
        sum_lutc_input => "cin")
1334
-- pragma translate_on
1335
PORT MAP (
1336
        datab => \tec|sm_counter\(7),
1337
        datad => VCC,
1338
        cin => \tec|Add1~13\,
1339
        combout => \tec|Add1~14_combout\,
1340
        cout => \tec|Add1~15\);
1341
 
1342
-- Location: FF_X17_Y9_N15
1343
\tec|sm_counter[7]\ : dffeas
1344
-- pragma translate_off
1345
GENERIC MAP (
1346
        is_wysiwyg => "true",
1347
        power_up => "low")
1348
-- pragma translate_on
1349
PORT MAP (
1350
        clk => \clk25~inputclkctrl_outclk\,
1351
        d => \tec|Add1~14_combout\,
1352
        ena => \dc|ce~q\,
1353
        devclrn => ww_devclrn,
1354
        devpor => ww_devpor,
1355
        q => \tec|sm_counter\(7));
1356
 
1357
-- Location: LCCOMB_X17_Y9_N16
1358
\tec|Add1~16\ : cycloneive_lcell_comb
1359
-- Equation(s):
1360
-- \tec|Add1~16_combout\ = (\tec|sm_counter\(8) & (\tec|Add1~15\ $ (GND))) # (!\tec|sm_counter\(8) & (!\tec|Add1~15\ & VCC))
1361
-- \tec|Add1~17\ = CARRY((\tec|sm_counter\(8) & !\tec|Add1~15\))
1362
 
1363
-- pragma translate_off
1364
GENERIC MAP (
1365
        lut_mask => "1100001100001100",
1366
        sum_lutc_input => "cin")
1367
-- pragma translate_on
1368
PORT MAP (
1369
        datab => \tec|sm_counter\(8),
1370
        datad => VCC,
1371
        cin => \tec|Add1~15\,
1372
        combout => \tec|Add1~16_combout\,
1373
        cout => \tec|Add1~17\);
1374
 
1375
-- Location: LCCOMB_X16_Y9_N6
1376
\tec|sm_counter~3\ : cycloneive_lcell_comb
1377
-- Equation(s):
1378
-- \tec|sm_counter~3_combout\ = (\tec|Add1~16_combout\ & (((!\tec|Equal19~0_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal142~8_combout\)))
1379
 
1380
-- pragma translate_off
1381
GENERIC MAP (
1382
        lut_mask => "0100110011001100",
1383
        sum_lutc_input => "datac")
1384
-- pragma translate_on
1385
PORT MAP (
1386
        dataa => \tec|Equal142~8_combout\,
1387
        datab => \tec|Add1~16_combout\,
1388
        datac => \tec|Equal0~3_combout\,
1389
        datad => \tec|Equal19~0_combout\,
1390
        combout => \tec|sm_counter~3_combout\);
1391
 
1392
-- Location: FF_X16_Y9_N7
1393
\tec|sm_counter[8]\ : dffeas
1394
-- pragma translate_off
1395
GENERIC MAP (
1396
        is_wysiwyg => "true",
1397
        power_up => "low")
1398
-- pragma translate_on
1399
PORT MAP (
1400
        clk => \clk25~inputclkctrl_outclk\,
1401
        d => \tec|sm_counter~3_combout\,
1402
        ena => \dc|ce~q\,
1403
        devclrn => ww_devclrn,
1404
        devpor => ww_devpor,
1405
        q => \tec|sm_counter\(8));
1406
 
1407
-- Location: LCCOMB_X17_Y9_N18
1408
\tec|Add1~18\ : cycloneive_lcell_comb
1409
-- Equation(s):
1410
-- \tec|Add1~18_combout\ = (\tec|sm_counter\(9) & (!\tec|Add1~17\)) # (!\tec|sm_counter\(9) & ((\tec|Add1~17\) # (GND)))
1411
-- \tec|Add1~19\ = CARRY((!\tec|Add1~17\) # (!\tec|sm_counter\(9)))
1412
 
1413
-- pragma translate_off
1414
GENERIC MAP (
1415
        lut_mask => "0101101001011111",
1416
        sum_lutc_input => "cin")
1417
-- pragma translate_on
1418
PORT MAP (
1419
        dataa => \tec|sm_counter\(9),
1420
        datad => VCC,
1421
        cin => \tec|Add1~17\,
1422
        combout => \tec|Add1~18_combout\,
1423
        cout => \tec|Add1~19\);
1424
 
1425
-- Location: LCCOMB_X16_Y9_N12
1426
\tec|sm_counter~2\ : cycloneive_lcell_comb
1427
-- Equation(s):
1428
-- \tec|sm_counter~2_combout\ = (\tec|Add1~18_combout\ & (((!\tec|Equal19~0_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal142~8_combout\)))
1429
 
1430
-- pragma translate_off
1431
GENERIC MAP (
1432
        lut_mask => "0100110011001100",
1433
        sum_lutc_input => "datac")
1434
-- pragma translate_on
1435
PORT MAP (
1436
        dataa => \tec|Equal142~8_combout\,
1437
        datab => \tec|Add1~18_combout\,
1438
        datac => \tec|Equal0~3_combout\,
1439
        datad => \tec|Equal19~0_combout\,
1440
        combout => \tec|sm_counter~2_combout\);
1441
 
1442
-- Location: FF_X16_Y9_N13
1443
\tec|sm_counter[9]\ : dffeas
1444
-- pragma translate_off
1445
GENERIC MAP (
1446
        is_wysiwyg => "true",
1447
        power_up => "low")
1448
-- pragma translate_on
1449
PORT MAP (
1450
        clk => \clk25~inputclkctrl_outclk\,
1451
        d => \tec|sm_counter~2_combout\,
1452
        ena => \dc|ce~q\,
1453
        devclrn => ww_devclrn,
1454
        devpor => ww_devpor,
1455
        q => \tec|sm_counter\(9));
1456
 
1457
-- Location: LCCOMB_X17_Y9_N20
1458
\tec|Add1~20\ : cycloneive_lcell_comb
1459
-- Equation(s):
1460
-- \tec|Add1~20_combout\ = (\tec|sm_counter\(10) & (\tec|Add1~19\ $ (GND))) # (!\tec|sm_counter\(10) & (!\tec|Add1~19\ & VCC))
1461
-- \tec|Add1~21\ = CARRY((\tec|sm_counter\(10) & !\tec|Add1~19\))
1462
 
1463
-- pragma translate_off
1464
GENERIC MAP (
1465
        lut_mask => "1010010100001010",
1466
        sum_lutc_input => "cin")
1467
-- pragma translate_on
1468
PORT MAP (
1469
        dataa => \tec|sm_counter\(10),
1470
        datad => VCC,
1471
        cin => \tec|Add1~19\,
1472
        combout => \tec|Add1~20_combout\,
1473
        cout => \tec|Add1~21\);
1474
 
1475
-- Location: LCCOMB_X16_Y9_N2
1476
\tec|sm_counter~1\ : cycloneive_lcell_comb
1477
-- Equation(s):
1478
-- \tec|sm_counter~1_combout\ = (\tec|Add1~20_combout\ & (((!\tec|Equal142~8_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal19~0_combout\)))
1479
 
1480
-- pragma translate_off
1481
GENERIC MAP (
1482
        lut_mask => "0010101010101010",
1483
        sum_lutc_input => "datac")
1484
-- pragma translate_on
1485
PORT MAP (
1486
        dataa => \tec|Add1~20_combout\,
1487
        datab => \tec|Equal19~0_combout\,
1488
        datac => \tec|Equal0~3_combout\,
1489
        datad => \tec|Equal142~8_combout\,
1490
        combout => \tec|sm_counter~1_combout\);
1491
 
1492
-- Location: FF_X16_Y9_N3
1493
\tec|sm_counter[10]\ : dffeas
1494
-- pragma translate_off
1495
GENERIC MAP (
1496
        is_wysiwyg => "true",
1497
        power_up => "low")
1498
-- pragma translate_on
1499
PORT MAP (
1500
        clk => \clk25~inputclkctrl_outclk\,
1501
        d => \tec|sm_counter~1_combout\,
1502
        ena => \dc|ce~q\,
1503
        devclrn => ww_devclrn,
1504
        devpor => ww_devpor,
1505
        q => \tec|sm_counter\(10));
1506
 
1507
-- Location: LCCOMB_X17_Y9_N22
1508
\tec|Add1~22\ : cycloneive_lcell_comb
1509
-- Equation(s):
1510
-- \tec|Add1~22_combout\ = (\tec|sm_counter\(11) & (!\tec|Add1~21\)) # (!\tec|sm_counter\(11) & ((\tec|Add1~21\) # (GND)))
1511
-- \tec|Add1~23\ = CARRY((!\tec|Add1~21\) # (!\tec|sm_counter\(11)))
1512
 
1513
-- pragma translate_off
1514
GENERIC MAP (
1515
        lut_mask => "0101101001011111",
1516
        sum_lutc_input => "cin")
1517
-- pragma translate_on
1518
PORT MAP (
1519
        dataa => \tec|sm_counter\(11),
1520
        datad => VCC,
1521
        cin => \tec|Add1~21\,
1522
        combout => \tec|Add1~22_combout\,
1523
        cout => \tec|Add1~23\);
1524
 
1525
-- Location: FF_X17_Y9_N23
1526
\tec|sm_counter[11]\ : dffeas
1527
-- pragma translate_off
1528
GENERIC MAP (
1529
        is_wysiwyg => "true",
1530
        power_up => "low")
1531
-- pragma translate_on
1532
PORT MAP (
1533
        clk => \clk25~inputclkctrl_outclk\,
1534
        d => \tec|Add1~22_combout\,
1535
        ena => \dc|ce~q\,
1536
        devclrn => ww_devclrn,
1537
        devpor => ww_devpor,
1538
        q => \tec|sm_counter\(11));
1539
 
1540
-- Location: LCCOMB_X17_Y9_N24
1541
\tec|Add1~24\ : cycloneive_lcell_comb
1542
-- Equation(s):
1543
-- \tec|Add1~24_combout\ = (\tec|sm_counter\(12) & (\tec|Add1~23\ $ (GND))) # (!\tec|sm_counter\(12) & (!\tec|Add1~23\ & VCC))
1544
-- \tec|Add1~25\ = CARRY((\tec|sm_counter\(12) & !\tec|Add1~23\))
1545
 
1546
-- pragma translate_off
1547
GENERIC MAP (
1548
        lut_mask => "1100001100001100",
1549
        sum_lutc_input => "cin")
1550
-- pragma translate_on
1551
PORT MAP (
1552
        datab => \tec|sm_counter\(12),
1553
        datad => VCC,
1554
        cin => \tec|Add1~23\,
1555
        combout => \tec|Add1~24_combout\,
1556
        cout => \tec|Add1~25\);
1557
 
1558
-- Location: FF_X17_Y9_N25
1559
\tec|sm_counter[12]\ : dffeas
1560
-- pragma translate_off
1561
GENERIC MAP (
1562
        is_wysiwyg => "true",
1563
        power_up => "low")
1564
-- pragma translate_on
1565
PORT MAP (
1566
        clk => \clk25~inputclkctrl_outclk\,
1567
        d => \tec|Add1~24_combout\,
1568
        ena => \dc|ce~q\,
1569
        devclrn => ww_devclrn,
1570
        devpor => ww_devpor,
1571
        q => \tec|sm_counter\(12));
1572
 
1573
-- Location: LCCOMB_X17_Y9_N26
1574
\tec|Add1~26\ : cycloneive_lcell_comb
1575
-- Equation(s):
1576
-- \tec|Add1~26_combout\ = (\tec|sm_counter\(13) & (!\tec|Add1~25\)) # (!\tec|sm_counter\(13) & ((\tec|Add1~25\) # (GND)))
1577
-- \tec|Add1~27\ = CARRY((!\tec|Add1~25\) # (!\tec|sm_counter\(13)))
1578
 
1579
-- pragma translate_off
1580
GENERIC MAP (
1581
        lut_mask => "0101101001011111",
1582
        sum_lutc_input => "cin")
1583
-- pragma translate_on
1584
PORT MAP (
1585
        dataa => \tec|sm_counter\(13),
1586
        datad => VCC,
1587
        cin => \tec|Add1~25\,
1588
        combout => \tec|Add1~26_combout\,
1589
        cout => \tec|Add1~27\);
1590
 
1591
-- Location: LCCOMB_X16_Y9_N16
1592
\tec|sm_counter~0\ : cycloneive_lcell_comb
1593
-- Equation(s):
1594
-- \tec|sm_counter~0_combout\ = (\tec|Add1~26_combout\ & (((!\tec|Equal19~0_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal142~8_combout\)))
1595
 
1596
-- pragma translate_off
1597
GENERIC MAP (
1598
        lut_mask => "0100110011001100",
1599
        sum_lutc_input => "datac")
1600
-- pragma translate_on
1601
PORT MAP (
1602
        dataa => \tec|Equal142~8_combout\,
1603
        datab => \tec|Add1~26_combout\,
1604
        datac => \tec|Equal0~3_combout\,
1605
        datad => \tec|Equal19~0_combout\,
1606
        combout => \tec|sm_counter~0_combout\);
1607
 
1608
-- Location: FF_X16_Y9_N17
1609
\tec|sm_counter[13]\ : dffeas
1610
-- pragma translate_off
1611
GENERIC MAP (
1612
        is_wysiwyg => "true",
1613
        power_up => "low")
1614
-- pragma translate_on
1615
PORT MAP (
1616
        clk => \clk25~inputclkctrl_outclk\,
1617
        d => \tec|sm_counter~0_combout\,
1618
        ena => \dc|ce~q\,
1619
        devclrn => ww_devclrn,
1620
        devpor => ww_devpor,
1621
        q => \tec|sm_counter\(13));
1622
 
1623
-- Location: LCCOMB_X16_Y9_N30
1624
\tec|Equal142~6\ : cycloneive_lcell_comb
1625
-- Equation(s):
1626
-- \tec|Equal142~6_combout\ = (!\tec|sm_counter\(5) & (\tec|sm_counter\(13) & (!\tec|sm_counter\(6) & \tec|sm_counter\(10))))
1627
 
1628
-- pragma translate_off
1629
GENERIC MAP (
1630
        lut_mask => "0000010000000000",
1631
        sum_lutc_input => "datac")
1632
-- pragma translate_on
1633
PORT MAP (
1634
        dataa => \tec|sm_counter\(5),
1635
        datab => \tec|sm_counter\(13),
1636
        datac => \tec|sm_counter\(6),
1637
        datad => \tec|sm_counter\(10),
1638
        combout => \tec|Equal142~6_combout\);
1639
 
1640
-- Location: LCCOMB_X16_Y9_N24
1641
\tec|Equal142~7\ : cycloneive_lcell_comb
1642
-- Equation(s):
1643
-- \tec|Equal142~7_combout\ = (\tec|sm_counter\(9) & (!\tec|sm_counter\(7) & \tec|sm_counter\(8)))
1644
 
1645
-- pragma translate_off
1646
GENERIC MAP (
1647
        lut_mask => "0000101000000000",
1648
        sum_lutc_input => "datac")
1649
-- pragma translate_on
1650
PORT MAP (
1651
        dataa => \tec|sm_counter\(9),
1652
        datac => \tec|sm_counter\(7),
1653
        datad => \tec|sm_counter\(8),
1654
        combout => \tec|Equal142~7_combout\);
1655
 
1656
-- Location: LCCOMB_X17_Y9_N28
1657
\tec|Add1~28\ : cycloneive_lcell_comb
1658
-- Equation(s):
1659
-- \tec|Add1~28_combout\ = (\tec|sm_counter\(14) & (\tec|Add1~27\ $ (GND))) # (!\tec|sm_counter\(14) & (!\tec|Add1~27\ & VCC))
1660
-- \tec|Add1~29\ = CARRY((\tec|sm_counter\(14) & !\tec|Add1~27\))
1661
 
1662
-- pragma translate_off
1663
GENERIC MAP (
1664
        lut_mask => "1100001100001100",
1665
        sum_lutc_input => "cin")
1666
-- pragma translate_on
1667
PORT MAP (
1668
        datab => \tec|sm_counter\(14),
1669
        datad => VCC,
1670
        cin => \tec|Add1~27\,
1671
        combout => \tec|Add1~28_combout\,
1672
        cout => \tec|Add1~29\);
1673
 
1674
-- Location: FF_X17_Y9_N29
1675
\tec|sm_counter[14]\ : dffeas
1676
-- pragma translate_off
1677
GENERIC MAP (
1678
        is_wysiwyg => "true",
1679
        power_up => "low")
1680
-- pragma translate_on
1681
PORT MAP (
1682
        clk => \clk25~inputclkctrl_outclk\,
1683
        d => \tec|Add1~28_combout\,
1684
        ena => \dc|ce~q\,
1685
        devclrn => ww_devclrn,
1686
        devpor => ww_devpor,
1687
        q => \tec|sm_counter\(14));
1688
 
1689
-- Location: LCCOMB_X17_Y9_N30
1690
\tec|Add1~30\ : cycloneive_lcell_comb
1691
-- Equation(s):
1692
-- \tec|Add1~30_combout\ = (\tec|sm_counter\(15) & (!\tec|Add1~29\)) # (!\tec|sm_counter\(15) & ((\tec|Add1~29\) # (GND)))
1693
-- \tec|Add1~31\ = CARRY((!\tec|Add1~29\) # (!\tec|sm_counter\(15)))
1694
 
1695
-- pragma translate_off
1696
GENERIC MAP (
1697
        lut_mask => "0101101001011111",
1698
        sum_lutc_input => "cin")
1699
-- pragma translate_on
1700
PORT MAP (
1701
        dataa => \tec|sm_counter\(15),
1702
        datad => VCC,
1703
        cin => \tec|Add1~29\,
1704
        combout => \tec|Add1~30_combout\,
1705
        cout => \tec|Add1~31\);
1706
 
1707
-- Location: FF_X17_Y9_N31
1708
\tec|sm_counter[15]\ : dffeas
1709
-- pragma translate_off
1710
GENERIC MAP (
1711
        is_wysiwyg => "true",
1712
        power_up => "low")
1713
-- pragma translate_on
1714
PORT MAP (
1715
        clk => \clk25~inputclkctrl_outclk\,
1716
        d => \tec|Add1~30_combout\,
1717
        ena => \dc|ce~q\,
1718
        devclrn => ww_devclrn,
1719
        devpor => ww_devpor,
1720
        q => \tec|sm_counter\(15));
1721
 
1722
-- Location: LCCOMB_X17_Y8_N0
1723
\tec|Add1~32\ : cycloneive_lcell_comb
1724
-- Equation(s):
1725
-- \tec|Add1~32_combout\ = (\tec|sm_counter\(16) & (\tec|Add1~31\ $ (GND))) # (!\tec|sm_counter\(16) & (!\tec|Add1~31\ & VCC))
1726
-- \tec|Add1~33\ = CARRY((\tec|sm_counter\(16) & !\tec|Add1~31\))
1727
 
1728
-- pragma translate_off
1729
GENERIC MAP (
1730
        lut_mask => "1100001100001100",
1731
        sum_lutc_input => "cin")
1732
-- pragma translate_on
1733
PORT MAP (
1734
        datab => \tec|sm_counter\(16),
1735
        datad => VCC,
1736
        cin => \tec|Add1~31\,
1737
        combout => \tec|Add1~32_combout\,
1738
        cout => \tec|Add1~33\);
1739
 
1740
-- Location: FF_X17_Y8_N1
1741
\tec|sm_counter[16]\ : dffeas
1742
-- pragma translate_off
1743
GENERIC MAP (
1744
        is_wysiwyg => "true",
1745
        power_up => "low")
1746
-- pragma translate_on
1747
PORT MAP (
1748
        clk => \clk25~inputclkctrl_outclk\,
1749
        d => \tec|Add1~32_combout\,
1750
        ena => \dc|ce~q\,
1751
        devclrn => ww_devclrn,
1752
        devpor => ww_devpor,
1753
        q => \tec|sm_counter\(16));
1754
 
1755
-- Location: LCCOMB_X17_Y8_N2
1756
\tec|Add1~34\ : cycloneive_lcell_comb
1757
-- Equation(s):
1758
-- \tec|Add1~34_combout\ = (\tec|sm_counter\(17) & (!\tec|Add1~33\)) # (!\tec|sm_counter\(17) & ((\tec|Add1~33\) # (GND)))
1759
-- \tec|Add1~35\ = CARRY((!\tec|Add1~33\) # (!\tec|sm_counter\(17)))
1760
 
1761
-- pragma translate_off
1762
GENERIC MAP (
1763
        lut_mask => "0011110000111111",
1764
        sum_lutc_input => "cin")
1765
-- pragma translate_on
1766
PORT MAP (
1767
        datab => \tec|sm_counter\(17),
1768
        datad => VCC,
1769
        cin => \tec|Add1~33\,
1770
        combout => \tec|Add1~34_combout\,
1771
        cout => \tec|Add1~35\);
1772
 
1773
-- Location: FF_X17_Y8_N3
1774
\tec|sm_counter[17]\ : dffeas
1775
-- pragma translate_off
1776
GENERIC MAP (
1777
        is_wysiwyg => "true",
1778
        power_up => "low")
1779
-- pragma translate_on
1780
PORT MAP (
1781
        clk => \clk25~inputclkctrl_outclk\,
1782
        d => \tec|Add1~34_combout\,
1783
        ena => \dc|ce~q\,
1784
        devclrn => ww_devclrn,
1785
        devpor => ww_devpor,
1786
        q => \tec|sm_counter\(17));
1787
 
1788
-- Location: LCCOMB_X17_Y8_N4
1789
\tec|Add1~36\ : cycloneive_lcell_comb
1790
-- Equation(s):
1791
-- \tec|Add1~36_combout\ = (\tec|sm_counter\(18) & (\tec|Add1~35\ $ (GND))) # (!\tec|sm_counter\(18) & (!\tec|Add1~35\ & VCC))
1792
-- \tec|Add1~37\ = CARRY((\tec|sm_counter\(18) & !\tec|Add1~35\))
1793
 
1794
-- pragma translate_off
1795
GENERIC MAP (
1796
        lut_mask => "1100001100001100",
1797
        sum_lutc_input => "cin")
1798
-- pragma translate_on
1799
PORT MAP (
1800
        datab => \tec|sm_counter\(18),
1801
        datad => VCC,
1802
        cin => \tec|Add1~35\,
1803
        combout => \tec|Add1~36_combout\,
1804
        cout => \tec|Add1~37\);
1805
 
1806
-- Location: FF_X17_Y8_N5
1807
\tec|sm_counter[18]\ : dffeas
1808
-- pragma translate_off
1809
GENERIC MAP (
1810
        is_wysiwyg => "true",
1811
        power_up => "low")
1812
-- pragma translate_on
1813
PORT MAP (
1814
        clk => \clk25~inputclkctrl_outclk\,
1815
        d => \tec|Add1~36_combout\,
1816
        ena => \dc|ce~q\,
1817
        devclrn => ww_devclrn,
1818
        devpor => ww_devpor,
1819
        q => \tec|sm_counter\(18));
1820
 
1821
-- Location: LCCOMB_X17_Y8_N6
1822
\tec|Add1~38\ : cycloneive_lcell_comb
1823
-- Equation(s):
1824
-- \tec|Add1~38_combout\ = (\tec|sm_counter\(19) & (!\tec|Add1~37\)) # (!\tec|sm_counter\(19) & ((\tec|Add1~37\) # (GND)))
1825
-- \tec|Add1~39\ = CARRY((!\tec|Add1~37\) # (!\tec|sm_counter\(19)))
1826
 
1827
-- pragma translate_off
1828
GENERIC MAP (
1829
        lut_mask => "0101101001011111",
1830
        sum_lutc_input => "cin")
1831
-- pragma translate_on
1832
PORT MAP (
1833
        dataa => \tec|sm_counter\(19),
1834
        datad => VCC,
1835
        cin => \tec|Add1~37\,
1836
        combout => \tec|Add1~38_combout\,
1837
        cout => \tec|Add1~39\);
1838
 
1839
-- Location: FF_X17_Y8_N7
1840
\tec|sm_counter[19]\ : dffeas
1841
-- pragma translate_off
1842
GENERIC MAP (
1843
        is_wysiwyg => "true",
1844
        power_up => "low")
1845
-- pragma translate_on
1846
PORT MAP (
1847
        clk => \clk25~inputclkctrl_outclk\,
1848
        d => \tec|Add1~38_combout\,
1849
        ena => \dc|ce~q\,
1850
        devclrn => ww_devclrn,
1851
        devpor => ww_devpor,
1852
        q => \tec|sm_counter\(19));
1853
 
1854
-- Location: LCCOMB_X17_Y8_N8
1855
\tec|Add1~40\ : cycloneive_lcell_comb
1856
-- Equation(s):
1857
-- \tec|Add1~40_combout\ = (\tec|sm_counter\(20) & (\tec|Add1~39\ $ (GND))) # (!\tec|sm_counter\(20) & (!\tec|Add1~39\ & VCC))
1858
-- \tec|Add1~41\ = CARRY((\tec|sm_counter\(20) & !\tec|Add1~39\))
1859
 
1860
-- pragma translate_off
1861
GENERIC MAP (
1862
        lut_mask => "1100001100001100",
1863
        sum_lutc_input => "cin")
1864
-- pragma translate_on
1865
PORT MAP (
1866
        datab => \tec|sm_counter\(20),
1867
        datad => VCC,
1868
        cin => \tec|Add1~39\,
1869
        combout => \tec|Add1~40_combout\,
1870
        cout => \tec|Add1~41\);
1871
 
1872
-- Location: FF_X17_Y8_N9
1873
\tec|sm_counter[20]\ : dffeas
1874
-- pragma translate_off
1875
GENERIC MAP (
1876
        is_wysiwyg => "true",
1877
        power_up => "low")
1878
-- pragma translate_on
1879
PORT MAP (
1880
        clk => \clk25~inputclkctrl_outclk\,
1881
        d => \tec|Add1~40_combout\,
1882
        ena => \dc|ce~q\,
1883
        devclrn => ww_devclrn,
1884
        devpor => ww_devpor,
1885
        q => \tec|sm_counter\(20));
1886
 
1887
-- Location: LCCOMB_X17_Y8_N10
1888
\tec|Add1~42\ : cycloneive_lcell_comb
1889
-- Equation(s):
1890
-- \tec|Add1~42_combout\ = (\tec|sm_counter\(21) & (!\tec|Add1~41\)) # (!\tec|sm_counter\(21) & ((\tec|Add1~41\) # (GND)))
1891
-- \tec|Add1~43\ = CARRY((!\tec|Add1~41\) # (!\tec|sm_counter\(21)))
1892
 
1893
-- pragma translate_off
1894
GENERIC MAP (
1895
        lut_mask => "0101101001011111",
1896
        sum_lutc_input => "cin")
1897
-- pragma translate_on
1898
PORT MAP (
1899
        dataa => \tec|sm_counter\(21),
1900
        datad => VCC,
1901
        cin => \tec|Add1~41\,
1902
        combout => \tec|Add1~42_combout\,
1903
        cout => \tec|Add1~43\);
1904
 
1905
-- Location: FF_X17_Y8_N11
1906
\tec|sm_counter[21]\ : dffeas
1907
-- pragma translate_off
1908
GENERIC MAP (
1909
        is_wysiwyg => "true",
1910
        power_up => "low")
1911
-- pragma translate_on
1912
PORT MAP (
1913
        clk => \clk25~inputclkctrl_outclk\,
1914
        d => \tec|Add1~42_combout\,
1915
        ena => \dc|ce~q\,
1916
        devclrn => ww_devclrn,
1917
        devpor => ww_devpor,
1918
        q => \tec|sm_counter\(21));
1919
 
1920
-- Location: LCCOMB_X17_Y8_N12
1921
\tec|Add1~44\ : cycloneive_lcell_comb
1922
-- Equation(s):
1923
-- \tec|Add1~44_combout\ = (\tec|sm_counter\(22) & (\tec|Add1~43\ $ (GND))) # (!\tec|sm_counter\(22) & (!\tec|Add1~43\ & VCC))
1924
-- \tec|Add1~45\ = CARRY((\tec|sm_counter\(22) & !\tec|Add1~43\))
1925
 
1926
-- pragma translate_off
1927
GENERIC MAP (
1928
        lut_mask => "1010010100001010",
1929
        sum_lutc_input => "cin")
1930
-- pragma translate_on
1931
PORT MAP (
1932
        dataa => \tec|sm_counter\(22),
1933
        datad => VCC,
1934
        cin => \tec|Add1~43\,
1935
        combout => \tec|Add1~44_combout\,
1936
        cout => \tec|Add1~45\);
1937
 
1938
-- Location: FF_X17_Y8_N13
1939
\tec|sm_counter[22]\ : dffeas
1940
-- pragma translate_off
1941
GENERIC MAP (
1942
        is_wysiwyg => "true",
1943
        power_up => "low")
1944
-- pragma translate_on
1945
PORT MAP (
1946
        clk => \clk25~inputclkctrl_outclk\,
1947
        d => \tec|Add1~44_combout\,
1948
        ena => \dc|ce~q\,
1949
        devclrn => ww_devclrn,
1950
        devpor => ww_devpor,
1951
        q => \tec|sm_counter\(22));
1952
 
1953
-- Location: LCCOMB_X17_Y8_N14
1954
\tec|Add1~46\ : cycloneive_lcell_comb
1955
-- Equation(s):
1956
-- \tec|Add1~46_combout\ = (\tec|sm_counter\(23) & (!\tec|Add1~45\)) # (!\tec|sm_counter\(23) & ((\tec|Add1~45\) # (GND)))
1957
-- \tec|Add1~47\ = CARRY((!\tec|Add1~45\) # (!\tec|sm_counter\(23)))
1958
 
1959
-- pragma translate_off
1960
GENERIC MAP (
1961
        lut_mask => "0011110000111111",
1962
        sum_lutc_input => "cin")
1963
-- pragma translate_on
1964
PORT MAP (
1965
        datab => \tec|sm_counter\(23),
1966
        datad => VCC,
1967
        cin => \tec|Add1~45\,
1968
        combout => \tec|Add1~46_combout\,
1969
        cout => \tec|Add1~47\);
1970
 
1971
-- Location: FF_X17_Y8_N15
1972
\tec|sm_counter[23]\ : dffeas
1973
-- pragma translate_off
1974
GENERIC MAP (
1975
        is_wysiwyg => "true",
1976
        power_up => "low")
1977
-- pragma translate_on
1978
PORT MAP (
1979
        clk => \clk25~inputclkctrl_outclk\,
1980
        d => \tec|Add1~46_combout\,
1981
        ena => \dc|ce~q\,
1982
        devclrn => ww_devclrn,
1983
        devpor => ww_devpor,
1984
        q => \tec|sm_counter\(23));
1985
 
1986
-- Location: LCCOMB_X21_Y9_N12
1987
\tec|Equal142~3\ : cycloneive_lcell_comb
1988
-- Equation(s):
1989
-- \tec|Equal142~3_combout\ = (!\tec|sm_counter\(23) & (!\tec|sm_counter\(20) & (!\tec|sm_counter\(21) & !\tec|sm_counter\(22))))
1990
 
1991
-- pragma translate_off
1992
GENERIC MAP (
1993
        lut_mask => "0000000000000001",
1994
        sum_lutc_input => "datac")
1995
-- pragma translate_on
1996
PORT MAP (
1997
        dataa => \tec|sm_counter\(23),
1998
        datab => \tec|sm_counter\(20),
1999
        datac => \tec|sm_counter\(21),
2000
        datad => \tec|sm_counter\(22),
2001
        combout => \tec|Equal142~3_combout\);
2002
 
2003
-- Location: LCCOMB_X21_Y8_N0
2004
\tec|Equal142~4\ : cycloneive_lcell_comb
2005
-- Equation(s):
2006
-- \tec|Equal142~4_combout\ = (!\tec|sm_counter\(17) & (!\tec|sm_counter\(16) & (!\tec|sm_counter\(18) & !\tec|sm_counter\(19))))
2007
 
2008
-- pragma translate_off
2009
GENERIC MAP (
2010
        lut_mask => "0000000000000001",
2011
        sum_lutc_input => "datac")
2012
-- pragma translate_on
2013
PORT MAP (
2014
        dataa => \tec|sm_counter\(17),
2015
        datab => \tec|sm_counter\(16),
2016
        datac => \tec|sm_counter\(18),
2017
        datad => \tec|sm_counter\(19),
2018
        combout => \tec|Equal142~4_combout\);
2019
 
2020
-- Location: LCCOMB_X17_Y8_N16
2021
\tec|Add1~48\ : cycloneive_lcell_comb
2022
-- Equation(s):
2023
-- \tec|Add1~48_combout\ = (\tec|sm_counter\(24) & (\tec|Add1~47\ $ (GND))) # (!\tec|sm_counter\(24) & (!\tec|Add1~47\ & VCC))
2024
-- \tec|Add1~49\ = CARRY((\tec|sm_counter\(24) & !\tec|Add1~47\))
2025
 
2026
-- pragma translate_off
2027
GENERIC MAP (
2028
        lut_mask => "1100001100001100",
2029
        sum_lutc_input => "cin")
2030
-- pragma translate_on
2031
PORT MAP (
2032
        datab => \tec|sm_counter\(24),
2033
        datad => VCC,
2034
        cin => \tec|Add1~47\,
2035
        combout => \tec|Add1~48_combout\,
2036
        cout => \tec|Add1~49\);
2037
 
2038
-- Location: FF_X17_Y8_N17
2039
\tec|sm_counter[24]\ : dffeas
2040
-- pragma translate_off
2041
GENERIC MAP (
2042
        is_wysiwyg => "true",
2043
        power_up => "low")
2044
-- pragma translate_on
2045
PORT MAP (
2046
        clk => \clk25~inputclkctrl_outclk\,
2047
        d => \tec|Add1~48_combout\,
2048
        ena => \dc|ce~q\,
2049
        devclrn => ww_devclrn,
2050
        devpor => ww_devpor,
2051
        q => \tec|sm_counter\(24));
2052
 
2053
-- Location: LCCOMB_X17_Y8_N18
2054
\tec|Add1~50\ : cycloneive_lcell_comb
2055
-- Equation(s):
2056
-- \tec|Add1~50_combout\ = (\tec|sm_counter\(25) & (!\tec|Add1~49\)) # (!\tec|sm_counter\(25) & ((\tec|Add1~49\) # (GND)))
2057
-- \tec|Add1~51\ = CARRY((!\tec|Add1~49\) # (!\tec|sm_counter\(25)))
2058
 
2059
-- pragma translate_off
2060
GENERIC MAP (
2061
        lut_mask => "0011110000111111",
2062
        sum_lutc_input => "cin")
2063
-- pragma translate_on
2064
PORT MAP (
2065
        datab => \tec|sm_counter\(25),
2066
        datad => VCC,
2067
        cin => \tec|Add1~49\,
2068
        combout => \tec|Add1~50_combout\,
2069
        cout => \tec|Add1~51\);
2070
 
2071
-- Location: FF_X17_Y8_N19
2072
\tec|sm_counter[25]\ : dffeas
2073
-- pragma translate_off
2074
GENERIC MAP (
2075
        is_wysiwyg => "true",
2076
        power_up => "low")
2077
-- pragma translate_on
2078
PORT MAP (
2079
        clk => \clk25~inputclkctrl_outclk\,
2080
        d => \tec|Add1~50_combout\,
2081
        ena => \dc|ce~q\,
2082
        devclrn => ww_devclrn,
2083
        devpor => ww_devpor,
2084
        q => \tec|sm_counter\(25));
2085
 
2086
-- Location: LCCOMB_X17_Y8_N20
2087
\tec|Add1~52\ : cycloneive_lcell_comb
2088
-- Equation(s):
2089
-- \tec|Add1~52_combout\ = (\tec|sm_counter\(26) & (\tec|Add1~51\ $ (GND))) # (!\tec|sm_counter\(26) & (!\tec|Add1~51\ & VCC))
2090
-- \tec|Add1~53\ = CARRY((\tec|sm_counter\(26) & !\tec|Add1~51\))
2091
 
2092
-- pragma translate_off
2093
GENERIC MAP (
2094
        lut_mask => "1100001100001100",
2095
        sum_lutc_input => "cin")
2096
-- pragma translate_on
2097
PORT MAP (
2098
        datab => \tec|sm_counter\(26),
2099
        datad => VCC,
2100
        cin => \tec|Add1~51\,
2101
        combout => \tec|Add1~52_combout\,
2102
        cout => \tec|Add1~53\);
2103
 
2104
-- Location: FF_X17_Y8_N21
2105
\tec|sm_counter[26]\ : dffeas
2106
-- pragma translate_off
2107
GENERIC MAP (
2108
        is_wysiwyg => "true",
2109
        power_up => "low")
2110
-- pragma translate_on
2111
PORT MAP (
2112
        clk => \clk25~inputclkctrl_outclk\,
2113
        d => \tec|Add1~52_combout\,
2114
        ena => \dc|ce~q\,
2115
        devclrn => ww_devclrn,
2116
        devpor => ww_devpor,
2117
        q => \tec|sm_counter\(26));
2118
 
2119
-- Location: LCCOMB_X17_Y8_N22
2120
\tec|Add1~54\ : cycloneive_lcell_comb
2121
-- Equation(s):
2122
-- \tec|Add1~54_combout\ = (\tec|sm_counter\(27) & (!\tec|Add1~53\)) # (!\tec|sm_counter\(27) & ((\tec|Add1~53\) # (GND)))
2123
-- \tec|Add1~55\ = CARRY((!\tec|Add1~53\) # (!\tec|sm_counter\(27)))
2124
 
2125
-- pragma translate_off
2126
GENERIC MAP (
2127
        lut_mask => "0101101001011111",
2128
        sum_lutc_input => "cin")
2129
-- pragma translate_on
2130
PORT MAP (
2131
        dataa => \tec|sm_counter\(27),
2132
        datad => VCC,
2133
        cin => \tec|Add1~53\,
2134
        combout => \tec|Add1~54_combout\,
2135
        cout => \tec|Add1~55\);
2136
 
2137
-- Location: FF_X17_Y8_N23
2138
\tec|sm_counter[27]\ : dffeas
2139
-- pragma translate_off
2140
GENERIC MAP (
2141
        is_wysiwyg => "true",
2142
        power_up => "low")
2143
-- pragma translate_on
2144
PORT MAP (
2145
        clk => \clk25~inputclkctrl_outclk\,
2146
        d => \tec|Add1~54_combout\,
2147
        ena => \dc|ce~q\,
2148
        devclrn => ww_devclrn,
2149
        devpor => ww_devpor,
2150
        q => \tec|sm_counter\(27));
2151
 
2152
-- Location: LCCOMB_X17_Y8_N24
2153
\tec|Add1~56\ : cycloneive_lcell_comb
2154
-- Equation(s):
2155
-- \tec|Add1~56_combout\ = (\tec|sm_counter\(28) & (\tec|Add1~55\ $ (GND))) # (!\tec|sm_counter\(28) & (!\tec|Add1~55\ & VCC))
2156
-- \tec|Add1~57\ = CARRY((\tec|sm_counter\(28) & !\tec|Add1~55\))
2157
 
2158
-- pragma translate_off
2159
GENERIC MAP (
2160
        lut_mask => "1100001100001100",
2161
        sum_lutc_input => "cin")
2162
-- pragma translate_on
2163
PORT MAP (
2164
        datab => \tec|sm_counter\(28),
2165
        datad => VCC,
2166
        cin => \tec|Add1~55\,
2167
        combout => \tec|Add1~56_combout\,
2168
        cout => \tec|Add1~57\);
2169
 
2170
-- Location: FF_X17_Y8_N25
2171
\tec|sm_counter[28]\ : dffeas
2172
-- pragma translate_off
2173
GENERIC MAP (
2174
        is_wysiwyg => "true",
2175
        power_up => "low")
2176
-- pragma translate_on
2177
PORT MAP (
2178
        clk => \clk25~inputclkctrl_outclk\,
2179
        d => \tec|Add1~56_combout\,
2180
        ena => \dc|ce~q\,
2181
        devclrn => ww_devclrn,
2182
        devpor => ww_devpor,
2183
        q => \tec|sm_counter\(28));
2184
 
2185
-- Location: LCCOMB_X17_Y8_N26
2186
\tec|Add1~58\ : cycloneive_lcell_comb
2187
-- Equation(s):
2188
-- \tec|Add1~58_combout\ = (\tec|sm_counter\(29) & (!\tec|Add1~57\)) # (!\tec|sm_counter\(29) & ((\tec|Add1~57\) # (GND)))
2189
-- \tec|Add1~59\ = CARRY((!\tec|Add1~57\) # (!\tec|sm_counter\(29)))
2190
 
2191
-- pragma translate_off
2192
GENERIC MAP (
2193
        lut_mask => "0101101001011111",
2194
        sum_lutc_input => "cin")
2195
-- pragma translate_on
2196
PORT MAP (
2197
        dataa => \tec|sm_counter\(29),
2198
        datad => VCC,
2199
        cin => \tec|Add1~57\,
2200
        combout => \tec|Add1~58_combout\,
2201
        cout => \tec|Add1~59\);
2202
 
2203
-- Location: FF_X17_Y8_N27
2204
\tec|sm_counter[29]\ : dffeas
2205
-- pragma translate_off
2206
GENERIC MAP (
2207
        is_wysiwyg => "true",
2208
        power_up => "low")
2209
-- pragma translate_on
2210
PORT MAP (
2211
        clk => \clk25~inputclkctrl_outclk\,
2212
        d => \tec|Add1~58_combout\,
2213
        ena => \dc|ce~q\,
2214
        devclrn => ww_devclrn,
2215
        devpor => ww_devpor,
2216
        q => \tec|sm_counter\(29));
2217
 
2218
-- Location: LCCOMB_X17_Y8_N28
2219
\tec|Add1~60\ : cycloneive_lcell_comb
2220
-- Equation(s):
2221
-- \tec|Add1~60_combout\ = (\tec|sm_counter\(30) & (\tec|Add1~59\ $ (GND))) # (!\tec|sm_counter\(30) & (!\tec|Add1~59\ & VCC))
2222
-- \tec|Add1~61\ = CARRY((\tec|sm_counter\(30) & !\tec|Add1~59\))
2223
 
2224
-- pragma translate_off
2225
GENERIC MAP (
2226
        lut_mask => "1100001100001100",
2227
        sum_lutc_input => "cin")
2228
-- pragma translate_on
2229
PORT MAP (
2230
        datab => \tec|sm_counter\(30),
2231
        datad => VCC,
2232
        cin => \tec|Add1~59\,
2233
        combout => \tec|Add1~60_combout\,
2234
        cout => \tec|Add1~61\);
2235
 
2236
-- Location: FF_X17_Y8_N29
2237
\tec|sm_counter[30]\ : dffeas
2238
-- pragma translate_off
2239
GENERIC MAP (
2240
        is_wysiwyg => "true",
2241
        power_up => "low")
2242
-- pragma translate_on
2243
PORT MAP (
2244
        clk => \clk25~inputclkctrl_outclk\,
2245
        d => \tec|Add1~60_combout\,
2246
        ena => \dc|ce~q\,
2247
        devclrn => ww_devclrn,
2248
        devpor => ww_devpor,
2249
        q => \tec|sm_counter\(30));
2250
 
2251
-- Location: LCCOMB_X17_Y8_N30
2252
\tec|Add1~62\ : cycloneive_lcell_comb
2253
-- Equation(s):
2254
-- \tec|Add1~62_combout\ = \tec|sm_counter\(31) $ (\tec|Add1~61\)
2255
 
2256
-- pragma translate_off
2257
GENERIC MAP (
2258
        lut_mask => "0101101001011010",
2259
        sum_lutc_input => "cin")
2260
-- pragma translate_on
2261
PORT MAP (
2262
        dataa => \tec|sm_counter\(31),
2263
        cin => \tec|Add1~61\,
2264
        combout => \tec|Add1~62_combout\);
2265
 
2266
-- Location: FF_X17_Y8_N31
2267
\tec|sm_counter[31]\ : dffeas
2268
-- pragma translate_off
2269
GENERIC MAP (
2270
        is_wysiwyg => "true",
2271
        power_up => "low")
2272
-- pragma translate_on
2273
PORT MAP (
2274
        clk => \clk25~inputclkctrl_outclk\,
2275
        d => \tec|Add1~62_combout\,
2276
        ena => \dc|ce~q\,
2277
        devclrn => ww_devclrn,
2278
        devpor => ww_devpor,
2279
        q => \tec|sm_counter\(31));
2280
 
2281
-- Location: LCCOMB_X18_Y8_N0
2282
\tec|Equal142~1\ : cycloneive_lcell_comb
2283
-- Equation(s):
2284
-- \tec|Equal142~1_combout\ = (!\tec|sm_counter\(28) & (!\tec|sm_counter\(30) & (!\tec|sm_counter\(29) & !\tec|sm_counter\(31))))
2285
 
2286
-- pragma translate_off
2287
GENERIC MAP (
2288
        lut_mask => "0000000000000001",
2289
        sum_lutc_input => "datac")
2290
-- pragma translate_on
2291
PORT MAP (
2292
        dataa => \tec|sm_counter\(28),
2293
        datab => \tec|sm_counter\(30),
2294
        datac => \tec|sm_counter\(29),
2295
        datad => \tec|sm_counter\(31),
2296
        combout => \tec|Equal142~1_combout\);
2297
 
2298
-- Location: LCCOMB_X21_Y9_N10
2299
\tec|Equal142~2\ : cycloneive_lcell_comb
2300
-- Equation(s):
2301
-- \tec|Equal142~2_combout\ = (!\tec|sm_counter\(26) & (!\tec|sm_counter\(24) & (!\tec|sm_counter\(25) & !\tec|sm_counter\(27))))
2302
 
2303
-- pragma translate_off
2304
GENERIC MAP (
2305
        lut_mask => "0000000000000001",
2306
        sum_lutc_input => "datac")
2307
-- pragma translate_on
2308
PORT MAP (
2309
        dataa => \tec|sm_counter\(26),
2310
        datab => \tec|sm_counter\(24),
2311
        datac => \tec|sm_counter\(25),
2312
        datad => \tec|sm_counter\(27),
2313
        combout => \tec|Equal142~2_combout\);
2314
 
2315
-- Location: LCCOMB_X21_Y9_N6
2316
\tec|Equal142~5\ : cycloneive_lcell_comb
2317
-- Equation(s):
2318
-- \tec|Equal142~5_combout\ = (\tec|Equal142~3_combout\ & (\tec|Equal142~4_combout\ & (\tec|Equal142~1_combout\ & \tec|Equal142~2_combout\)))
2319
 
2320
-- pragma translate_off
2321
GENERIC MAP (
2322
        lut_mask => "1000000000000000",
2323
        sum_lutc_input => "datac")
2324
-- pragma translate_on
2325
PORT MAP (
2326
        dataa => \tec|Equal142~3_combout\,
2327
        datab => \tec|Equal142~4_combout\,
2328
        datac => \tec|Equal142~1_combout\,
2329
        datad => \tec|Equal142~2_combout\,
2330
        combout => \tec|Equal142~5_combout\);
2331
 
2332
-- Location: LCCOMB_X21_Y9_N0
2333
\tec|Equal142~0\ : cycloneive_lcell_comb
2334
-- Equation(s):
2335
-- \tec|Equal142~0_combout\ = (!\tec|sm_counter\(14) & (!\tec|sm_counter\(11) & (!\tec|sm_counter\(15) & !\tec|sm_counter\(12))))
2336
 
2337
-- pragma translate_off
2338
GENERIC MAP (
2339
        lut_mask => "0000000000000001",
2340
        sum_lutc_input => "datac")
2341
-- pragma translate_on
2342
PORT MAP (
2343
        dataa => \tec|sm_counter\(14),
2344
        datab => \tec|sm_counter\(11),
2345
        datac => \tec|sm_counter\(15),
2346
        datad => \tec|sm_counter\(12),
2347
        combout => \tec|Equal142~0_combout\);
2348
 
2349
-- Location: LCCOMB_X16_Y9_N26
2350
\tec|Equal142~8\ : cycloneive_lcell_comb
2351
-- Equation(s):
2352
-- \tec|Equal142~8_combout\ = (\tec|Equal142~6_combout\ & (\tec|Equal142~7_combout\ & (\tec|Equal142~5_combout\ & \tec|Equal142~0_combout\)))
2353
 
2354
-- pragma translate_off
2355
GENERIC MAP (
2356
        lut_mask => "1000000000000000",
2357
        sum_lutc_input => "datac")
2358
-- pragma translate_on
2359
PORT MAP (
2360
        dataa => \tec|Equal142~6_combout\,
2361
        datab => \tec|Equal142~7_combout\,
2362
        datac => \tec|Equal142~5_combout\,
2363
        datad => \tec|Equal142~0_combout\,
2364
        combout => \tec|Equal142~8_combout\);
2365
 
2366
-- Location: LCCOMB_X16_Y9_N28
2367
\tec|sm_counter~5\ : cycloneive_lcell_comb
2368
-- Equation(s):
2369
-- \tec|sm_counter~5_combout\ = (\tec|Add1~0_combout\ & (((!\tec|Equal19~0_combout\) # (!\tec|Equal0~3_combout\)) # (!\tec|Equal142~8_combout\)))
2370
 
2371
-- pragma translate_off
2372
GENERIC MAP (
2373
        lut_mask => "0100110011001100",
2374
        sum_lutc_input => "datac")
2375
-- pragma translate_on
2376
PORT MAP (
2377
        dataa => \tec|Equal142~8_combout\,
2378
        datab => \tec|Add1~0_combout\,
2379
        datac => \tec|Equal0~3_combout\,
2380
        datad => \tec|Equal19~0_combout\,
2381
        combout => \tec|sm_counter~5_combout\);
2382
 
2383
-- Location: FF_X16_Y9_N29
2384
\tec|sm_counter[0]\ : dffeas
2385
-- pragma translate_off
2386
GENERIC MAP (
2387
        is_wysiwyg => "true",
2388
        power_up => "low")
2389
-- pragma translate_on
2390
PORT MAP (
2391
        clk => \clk25~inputclkctrl_outclk\,
2392
        d => \tec|sm_counter~5_combout\,
2393
        ena => \dc|ce~q\,
2394
        devclrn => ww_devclrn,
2395
        devpor => ww_devpor,
2396
        q => \tec|sm_counter\(0));
2397
 
2398
-- Location: LCCOMB_X16_Y15_N16
2399
\tec|Equal7~0\ : cycloneive_lcell_comb
2400
-- Equation(s):
2401
-- \tec|Equal7~0_combout\ = (\tec|sm_counter\(0) & \tec|sm_counter\(1))
2402
 
2403
-- pragma translate_off
2404
GENERIC MAP (
2405
        lut_mask => "1100110000000000",
2406
        sum_lutc_input => "datac")
2407
-- pragma translate_on
2408
PORT MAP (
2409
        datab => \tec|sm_counter\(0),
2410
        datad => \tec|sm_counter\(1),
2411
        combout => \tec|Equal7~0_combout\);
2412
 
2413
-- Location: LCCOMB_X17_Y10_N0
2414
\tec|Equal64~1\ : cycloneive_lcell_comb
2415
-- Equation(s):
2416
-- \tec|Equal64~1_combout\ = (\tec|sm_counter\(6) & !\tec|sm_counter\(3))
2417
 
2418
-- pragma translate_off
2419
GENERIC MAP (
2420
        lut_mask => "0000000010101010",
2421
        sum_lutc_input => "datac")
2422
-- pragma translate_on
2423
PORT MAP (
2424
        dataa => \tec|sm_counter\(6),
2425
        datad => \tec|sm_counter\(3),
2426
        combout => \tec|Equal64~1_combout\);
2427
 
2428
-- Location: LCCOMB_X16_Y9_N0
2429
\tec|Equal24~2\ : cycloneive_lcell_comb
2430
-- Equation(s):
2431
-- \tec|Equal24~2_combout\ = (!\tec|sm_counter\(8) & (!\tec|sm_counter\(10) & (!\tec|sm_counter\(9) & !\tec|sm_counter\(13))))
2432
 
2433
-- pragma translate_off
2434
GENERIC MAP (
2435
        lut_mask => "0000000000000001",
2436
        sum_lutc_input => "datac")
2437
-- pragma translate_on
2438
PORT MAP (
2439
        dataa => \tec|sm_counter\(8),
2440
        datab => \tec|sm_counter\(10),
2441
        datac => \tec|sm_counter\(9),
2442
        datad => \tec|sm_counter\(13),
2443
        combout => \tec|Equal24~2_combout\);
2444
 
2445
-- Location: LCCOMB_X21_Y9_N28
2446
\tec|Equal64~0\ : cycloneive_lcell_comb
2447
-- Equation(s):
2448
-- \tec|Equal64~0_combout\ = (\tec|Equal142~5_combout\ & (!\tec|sm_counter\(7) & (\tec|Equal24~2_combout\ & \tec|Equal142~0_combout\)))
2449
 
2450
-- pragma translate_off
2451
GENERIC MAP (
2452
        lut_mask => "0010000000000000",
2453
        sum_lutc_input => "datac")
2454
-- pragma translate_on
2455
PORT MAP (
2456
        dataa => \tec|Equal142~5_combout\,
2457
        datab => \tec|sm_counter\(7),
2458
        datac => \tec|Equal24~2_combout\,
2459
        datad => \tec|Equal142~0_combout\,
2460
        combout => \tec|Equal64~0_combout\);
2461
 
2462
-- Location: LCCOMB_X17_Y10_N12
2463
\tec|Equal80~0\ : cycloneive_lcell_comb
2464
-- Equation(s):
2465
-- \tec|Equal80~0_combout\ = (!\tec|sm_counter\(5) & (\tec|Equal64~1_combout\ & (\tec|sm_counter\(4) & \tec|Equal64~0_combout\)))
2466
 
2467
-- pragma translate_off
2468
GENERIC MAP (
2469
        lut_mask => "0100000000000000",
2470
        sum_lutc_input => "datac")
2471
-- pragma translate_on
2472
PORT MAP (
2473
        dataa => \tec|sm_counter\(5),
2474
        datab => \tec|Equal64~1_combout\,
2475
        datac => \tec|sm_counter\(4),
2476
        datad => \tec|Equal64~0_combout\,
2477
        combout => \tec|Equal80~0_combout\);
2478
 
2479
-- Location: LCCOMB_X18_Y13_N26
2480
\dc|d1Next[0]~2\ : cycloneive_lcell_comb
2481
-- Equation(s):
2482
-- \dc|d1Next[0]~2_combout\ = !\dc|d1Curr\(0)
2483
 
2484
-- pragma translate_off
2485
GENERIC MAP (
2486
        lut_mask => "0000000011111111",
2487
        sum_lutc_input => "datac")
2488
-- pragma translate_on
2489
PORT MAP (
2490
        datad => \dc|d1Curr\(0),
2491
        combout => \dc|d1Next[0]~2_combout\);
2492
 
2493
-- Location: FF_X18_Y13_N27
2494
\dc|d1Next[0]\ : dffeas
2495
-- pragma translate_off
2496
GENERIC MAP (
2497
        is_wysiwyg => "true",
2498
        power_up => "low")
2499
-- pragma translate_on
2500
PORT MAP (
2501
        clk => \clk25~inputclkctrl_outclk\,
2502
        d => \dc|d1Next[0]~2_combout\,
2503
        ena => \dc|ce~q\,
2504
        devclrn => ww_devclrn,
2505
        devpor => ww_devpor,
2506
        q => \dc|d1Next\(0));
2507
 
2508
-- Location: FF_X18_Y13_N1
2509
\dc|d1Curr[0]\ : dffeas
2510
-- pragma translate_off
2511
GENERIC MAP (
2512
        is_wysiwyg => "true",
2513
        power_up => "low")
2514
-- pragma translate_on
2515
PORT MAP (
2516
        clk => \clk25~inputclkctrl_outclk\,
2517
        asdata => \dc|d1Next\(0),
2518
        sload => VCC,
2519
        devclrn => ww_devclrn,
2520
        devpor => ww_devpor,
2521
        q => \dc|d1Curr\(0));
2522
 
2523
-- Location: LCCOMB_X18_Y13_N2
2524
\dc|d1Next~1\ : cycloneive_lcell_comb
2525
-- Equation(s):
2526
-- \dc|d1Next~1_combout\ = (\dc|d1Curr\(2) & (\dc|d1Curr\(3) $ (((\dc|d1Curr\(0) & \dc|d1Curr\(1)))))) # (!\dc|d1Curr\(2) & (\dc|d1Curr\(3) & ((\dc|d1Curr\(1)) # (!\dc|d1Curr\(0)))))
2527
 
2528
-- pragma translate_off
2529
GENERIC MAP (
2530
        lut_mask => "0111100010110000",
2531
        sum_lutc_input => "datac")
2532
-- pragma translate_on
2533
PORT MAP (
2534
        dataa => \dc|d1Curr\(2),
2535
        datab => \dc|d1Curr\(0),
2536
        datac => \dc|d1Curr\(3),
2537
        datad => \dc|d1Curr\(1),
2538
        combout => \dc|d1Next~1_combout\);
2539
 
2540
-- Location: FF_X18_Y13_N3
2541
\dc|d1Next[3]\ : dffeas
2542
-- pragma translate_off
2543
GENERIC MAP (
2544
        is_wysiwyg => "true",
2545
        power_up => "low")
2546
-- pragma translate_on
2547
PORT MAP (
2548
        clk => \clk25~inputclkctrl_outclk\,
2549
        d => \dc|d1Next~1_combout\,
2550
        ena => \dc|ce~q\,
2551
        devclrn => ww_devclrn,
2552
        devpor => ww_devpor,
2553
        q => \dc|d1Next\(3));
2554
 
2555
-- Location: LCCOMB_X18_Y13_N6
2556
\dc|d1Curr[3]~feeder\ : cycloneive_lcell_comb
2557
-- Equation(s):
2558
-- \dc|d1Curr[3]~feeder_combout\ = \dc|d1Next\(3)
2559
 
2560
-- pragma translate_off
2561
GENERIC MAP (
2562
        lut_mask => "1111111100000000",
2563
        sum_lutc_input => "datac")
2564
-- pragma translate_on
2565
PORT MAP (
2566
        datad => \dc|d1Next\(3),
2567
        combout => \dc|d1Curr[3]~feeder_combout\);
2568
 
2569
-- Location: FF_X18_Y13_N7
2570
\dc|d1Curr[3]\ : dffeas
2571
-- pragma translate_off
2572
GENERIC MAP (
2573
        is_wysiwyg => "true",
2574
        power_up => "low")
2575
-- pragma translate_on
2576
PORT MAP (
2577
        clk => \clk25~inputclkctrl_outclk\,
2578
        d => \dc|d1Curr[3]~feeder_combout\,
2579
        devclrn => ww_devclrn,
2580
        devpor => ww_devpor,
2581
        q => \dc|d1Curr\(3));
2582
 
2583
-- Location: LCCOMB_X18_Y13_N18
2584
\dc|d1Next~0\ : cycloneive_lcell_comb
2585
-- Equation(s):
2586
-- \dc|d1Next~0_combout\ = (\dc|d1Curr\(0) & (!\dc|d1Curr\(1) & ((\dc|d1Curr\(2)) # (!\dc|d1Curr\(3))))) # (!\dc|d1Curr\(0) & (((\dc|d1Curr\(1)))))
2587
 
2588
-- pragma translate_off
2589
GENERIC MAP (
2590
        lut_mask => "0011001110001100",
2591
        sum_lutc_input => "datac")
2592
-- pragma translate_on
2593
PORT MAP (
2594
        dataa => \dc|d1Curr\(2),
2595
        datab => \dc|d1Curr\(0),
2596
        datac => \dc|d1Curr\(3),
2597
        datad => \dc|d1Curr\(1),
2598
        combout => \dc|d1Next~0_combout\);
2599
 
2600
-- Location: FF_X18_Y13_N19
2601
\dc|d1Next[1]\ : dffeas
2602
-- pragma translate_off
2603
GENERIC MAP (
2604
        is_wysiwyg => "true",
2605
        power_up => "low")
2606
-- pragma translate_on
2607
PORT MAP (
2608
        clk => \clk25~inputclkctrl_outclk\,
2609
        d => \dc|d1Next~0_combout\,
2610
        ena => \dc|ce~q\,
2611
        devclrn => ww_devclrn,
2612
        devpor => ww_devpor,
2613
        q => \dc|d1Next\(1));
2614
 
2615
-- Location: LCCOMB_X18_Y13_N28
2616
\dc|d1Curr[1]~feeder\ : cycloneive_lcell_comb
2617
-- Equation(s):
2618
-- \dc|d1Curr[1]~feeder_combout\ = \dc|d1Next\(1)
2619
 
2620
-- pragma translate_off
2621
GENERIC MAP (
2622
        lut_mask => "1111111100000000",
2623
        sum_lutc_input => "datac")
2624
-- pragma translate_on
2625
PORT MAP (
2626
        datad => \dc|d1Next\(1),
2627
        combout => \dc|d1Curr[1]~feeder_combout\);
2628
 
2629
-- Location: FF_X18_Y13_N29
2630
\dc|d1Curr[1]\ : dffeas
2631
-- pragma translate_off
2632
GENERIC MAP (
2633
        is_wysiwyg => "true",
2634
        power_up => "low")
2635
-- pragma translate_on
2636
PORT MAP (
2637
        clk => \clk25~inputclkctrl_outclk\,
2638
        d => \dc|d1Curr[1]~feeder_combout\,
2639
        devclrn => ww_devclrn,
2640
        devpor => ww_devpor,
2641
        q => \dc|d1Curr\(1));
2642
 
2643
-- Location: LCCOMB_X18_Y13_N8
2644
\dc|Add4~0\ : cycloneive_lcell_comb
2645
-- Equation(s):
2646
-- \dc|Add4~0_combout\ = \dc|d1Curr\(2) $ (((\dc|d1Curr\(1) & \dc|d1Curr\(0))))
2647
 
2648
-- pragma translate_off
2649
GENERIC MAP (
2650
        lut_mask => "0011110011110000",
2651
        sum_lutc_input => "datac")
2652
-- pragma translate_on
2653
PORT MAP (
2654
        datab => \dc|d1Curr\(1),
2655
        datac => \dc|d1Curr\(2),
2656
        datad => \dc|d1Curr\(0),
2657
        combout => \dc|Add4~0_combout\);
2658
 
2659
-- Location: FF_X18_Y13_N9
2660
\dc|d1Next[2]\ : dffeas
2661
-- pragma translate_off
2662
GENERIC MAP (
2663
        is_wysiwyg => "true",
2664
        power_up => "low")
2665
-- pragma translate_on
2666
PORT MAP (
2667
        clk => \clk25~inputclkctrl_outclk\,
2668
        d => \dc|Add4~0_combout\,
2669
        ena => \dc|ce~q\,
2670
        devclrn => ww_devclrn,
2671
        devpor => ww_devpor,
2672
        q => \dc|d1Next\(2));
2673
 
2674
-- Location: FF_X18_Y13_N5
2675
\dc|d1Curr[2]\ : dffeas
2676
-- pragma translate_off
2677
GENERIC MAP (
2678
        is_wysiwyg => "true",
2679
        power_up => "low")
2680
-- pragma translate_on
2681
PORT MAP (
2682
        clk => \clk25~inputclkctrl_outclk\,
2683
        asdata => \dc|d1Next\(2),
2684
        sload => VCC,
2685
        devclrn => ww_devclrn,
2686
        devpor => ww_devpor,
2687
        q => \dc|d1Curr\(2));
2688
 
2689
-- Location: LCCOMB_X18_Y13_N0
2690
\dc|Equal3~0\ : cycloneive_lcell_comb
2691
-- Equation(s):
2692
-- \dc|Equal3~0_combout\ = (!\dc|d1Curr\(2) & (\dc|d1Curr\(3) & (\dc|d1Curr\(0) & !\dc|d1Curr\(1))))
2693
 
2694
-- pragma translate_off
2695
GENERIC MAP (
2696
        lut_mask => "0000000001000000",
2697
        sum_lutc_input => "datac")
2698
-- pragma translate_on
2699
PORT MAP (
2700
        dataa => \dc|d1Curr\(2),
2701
        datab => \dc|d1Curr\(3),
2702
        datac => \dc|d1Curr\(0),
2703
        datad => \dc|d1Curr\(1),
2704
        combout => \dc|Equal3~0_combout\);
2705
 
2706
-- Location: LCCOMB_X18_Y13_N14
2707
\dc|d10Next~0\ : cycloneive_lcell_comb
2708
-- Equation(s):
2709
-- \dc|d10Next~0_combout\ = \dc|d10Curr\(0) $ (\dc|Equal3~0_combout\)
2710
 
2711
-- pragma translate_off
2712
GENERIC MAP (
2713
        lut_mask => "0101101001011010",
2714
        sum_lutc_input => "datac")
2715
-- pragma translate_on
2716
PORT MAP (
2717
        dataa => \dc|d10Curr\(0),
2718
        datac => \dc|Equal3~0_combout\,
2719
        combout => \dc|d10Next~0_combout\);
2720
 
2721
-- Location: FF_X18_Y13_N15
2722
\dc|d10Next[0]\ : dffeas
2723
-- pragma translate_off
2724
GENERIC MAP (
2725
        is_wysiwyg => "true",
2726
        power_up => "low")
2727
-- pragma translate_on
2728
PORT MAP (
2729
        clk => \clk25~inputclkctrl_outclk\,
2730
        d => \dc|d10Next~0_combout\,
2731
        ena => \dc|ce~q\,
2732
        devclrn => ww_devclrn,
2733
        devpor => ww_devpor,
2734
        q => \dc|d10Next\(0));
2735
 
2736
-- Location: FF_X18_Y13_N21
2737
\dc|d10Curr[0]\ : dffeas
2738
-- pragma translate_off
2739
GENERIC MAP (
2740
        is_wysiwyg => "true",
2741
        power_up => "low")
2742
-- pragma translate_on
2743
PORT MAP (
2744
        clk => \clk25~inputclkctrl_outclk\,
2745
        asdata => \dc|d10Next\(0),
2746
        sload => VCC,
2747
        devclrn => ww_devclrn,
2748
        devpor => ww_devpor,
2749
        q => \dc|d10Curr\(0));
2750
 
2751
-- Location: LCCOMB_X18_Y13_N10
2752
\dc|Add3~0\ : cycloneive_lcell_comb
2753
-- Equation(s):
2754
-- \dc|Add3~0_combout\ = \dc|d10Curr\(3) $ (((\dc|d10Curr\(0) & (\dc|d10Curr\(1) & \dc|d10Curr\(2)))))
2755
 
2756
-- pragma translate_off
2757
GENERIC MAP (
2758
        lut_mask => "0111100011110000",
2759
        sum_lutc_input => "datac")
2760
-- pragma translate_on
2761
PORT MAP (
2762
        dataa => \dc|d10Curr\(0),
2763
        datab => \dc|d10Curr\(1),
2764
        datac => \dc|d10Curr\(3),
2765
        datad => \dc|d10Curr\(2),
2766
        combout => \dc|Add3~0_combout\);
2767
 
2768
-- Location: LCCOMB_X18_Y13_N30
2769
\dc|d10Next~3\ : cycloneive_lcell_comb
2770
-- Equation(s):
2771
-- \dc|d10Next~3_combout\ = (\dc|Equal3~0_combout\ & (\dc|Add3~0_combout\ & ((!\dc|Equal2~0_combout\)))) # (!\dc|Equal3~0_combout\ & (((\dc|d10Curr\(3)))))
2772
 
2773
-- pragma translate_off
2774
GENERIC MAP (
2775
        lut_mask => "0000110010101100",
2776
        sum_lutc_input => "datac")
2777
-- pragma translate_on
2778
PORT MAP (
2779
        dataa => \dc|Add3~0_combout\,
2780
        datab => \dc|d10Curr\(3),
2781
        datac => \dc|Equal3~0_combout\,
2782
        datad => \dc|Equal2~0_combout\,
2783
        combout => \dc|d10Next~3_combout\);
2784
 
2785
-- Location: FF_X18_Y13_N31
2786
\dc|d10Next[3]\ : dffeas
2787
-- pragma translate_off
2788
GENERIC MAP (
2789
        is_wysiwyg => "true",
2790
        power_up => "low")
2791
-- pragma translate_on
2792
PORT MAP (
2793
        clk => \clk25~inputclkctrl_outclk\,
2794
        d => \dc|d10Next~3_combout\,
2795
        ena => \dc|ce~q\,
2796
        devclrn => ww_devclrn,
2797
        devpor => ww_devpor,
2798
        q => \dc|d10Next\(3));
2799
 
2800
-- Location: FF_X18_Y13_N11
2801
\dc|d10Curr[3]\ : dffeas
2802
-- pragma translate_off
2803
GENERIC MAP (
2804
        is_wysiwyg => "true",
2805
        power_up => "low")
2806
-- pragma translate_on
2807
PORT MAP (
2808
        clk => \clk25~inputclkctrl_outclk\,
2809
        asdata => \dc|d10Next\(3),
2810
        sload => VCC,
2811
        devclrn => ww_devclrn,
2812
        devpor => ww_devpor,
2813
        q => \dc|d10Curr\(3));
2814
 
2815
-- Location: LCCOMB_X18_Y13_N20
2816
\dc|Equal2~0\ : cycloneive_lcell_comb
2817
-- Equation(s):
2818
-- \dc|Equal2~0_combout\ = (!\dc|d10Curr\(1) & (\dc|d10Curr\(3) & (\dc|d10Curr\(0) & !\dc|d10Curr\(2))))
2819
 
2820
-- pragma translate_off
2821
GENERIC MAP (
2822
        lut_mask => "0000000001000000",
2823
        sum_lutc_input => "datac")
2824
-- pragma translate_on
2825
PORT MAP (
2826
        dataa => \dc|d10Curr\(1),
2827
        datab => \dc|d10Curr\(3),
2828
        datac => \dc|d10Curr\(0),
2829
        datad => \dc|d10Curr\(2),
2830
        combout => \dc|Equal2~0_combout\);
2831
 
2832
-- Location: LCCOMB_X18_Y13_N24
2833
\dc|d10Next~1\ : cycloneive_lcell_comb
2834
-- Equation(s):
2835
-- \dc|d10Next~1_combout\ = (\dc|Equal3~0_combout\ & (!\dc|Equal2~0_combout\ & (\dc|d10Curr\(0) $ (\dc|d10Curr\(1))))) # (!\dc|Equal3~0_combout\ & (((\dc|d10Curr\(1)))))
2836
 
2837
-- pragma translate_off
2838
GENERIC MAP (
2839
        lut_mask => "0000110001101100",
2840
        sum_lutc_input => "datac")
2841
-- pragma translate_on
2842
PORT MAP (
2843
        dataa => \dc|d10Curr\(0),
2844
        datab => \dc|d10Curr\(1),
2845
        datac => \dc|Equal3~0_combout\,
2846
        datad => \dc|Equal2~0_combout\,
2847
        combout => \dc|d10Next~1_combout\);
2848
 
2849
-- Location: FF_X18_Y13_N25
2850
\dc|d10Next[1]\ : dffeas
2851
-- pragma translate_off
2852
GENERIC MAP (
2853
        is_wysiwyg => "true",
2854
        power_up => "low")
2855
-- pragma translate_on
2856
PORT MAP (
2857
        clk => \clk25~inputclkctrl_outclk\,
2858
        d => \dc|d10Next~1_combout\,
2859
        ena => \dc|ce~q\,
2860
        devclrn => ww_devclrn,
2861
        devpor => ww_devpor,
2862
        q => \dc|d10Next\(1));
2863
 
2864
-- Location: LCCOMB_X18_Y13_N22
2865
\dc|d10Curr[1]~feeder\ : cycloneive_lcell_comb
2866
-- Equation(s):
2867
-- \dc|d10Curr[1]~feeder_combout\ = \dc|d10Next\(1)
2868
 
2869
-- pragma translate_off
2870
GENERIC MAP (
2871
        lut_mask => "1111111100000000",
2872
        sum_lutc_input => "datac")
2873
-- pragma translate_on
2874
PORT MAP (
2875
        datad => \dc|d10Next\(1),
2876
        combout => \dc|d10Curr[1]~feeder_combout\);
2877
 
2878
-- Location: FF_X18_Y13_N23
2879
\dc|d10Curr[1]\ : dffeas
2880
-- pragma translate_off
2881
GENERIC MAP (
2882
        is_wysiwyg => "true",
2883
        power_up => "low")
2884
-- pragma translate_on
2885
PORT MAP (
2886
        clk => \clk25~inputclkctrl_outclk\,
2887
        d => \dc|d10Curr[1]~feeder_combout\,
2888
        devclrn => ww_devclrn,
2889
        devpor => ww_devpor,
2890
        q => \dc|d10Curr\(1));
2891
 
2892
-- Location: LCCOMB_X18_Y13_N12
2893
\dc|d10Next~2\ : cycloneive_lcell_comb
2894
-- Equation(s):
2895
-- \dc|d10Next~2_combout\ = \dc|d10Curr\(2) $ (((\dc|d10Curr\(0) & (\dc|d10Curr\(1) & \dc|Equal3~0_combout\))))
2896
 
2897
-- pragma translate_off
2898
GENERIC MAP (
2899
        lut_mask => "0111111110000000",
2900
        sum_lutc_input => "datac")
2901
-- pragma translate_on
2902
PORT MAP (
2903
        dataa => \dc|d10Curr\(0),
2904
        datab => \dc|d10Curr\(1),
2905
        datac => \dc|Equal3~0_combout\,
2906
        datad => \dc|d10Curr\(2),
2907
        combout => \dc|d10Next~2_combout\);
2908
 
2909
-- Location: FF_X18_Y13_N13
2910
\dc|d10Next[2]\ : dffeas
2911
-- pragma translate_off
2912
GENERIC MAP (
2913
        is_wysiwyg => "true",
2914
        power_up => "low")
2915
-- pragma translate_on
2916
PORT MAP (
2917
        clk => \clk25~inputclkctrl_outclk\,
2918
        d => \dc|d10Next~2_combout\,
2919
        ena => \dc|ce~q\,
2920
        devclrn => ww_devclrn,
2921
        devpor => ww_devpor,
2922
        q => \dc|d10Next\(2));
2923
 
2924
-- Location: LCCOMB_X18_Y13_N16
2925
\dc|d10Curr[2]~feeder\ : cycloneive_lcell_comb
2926
-- Equation(s):
2927
-- \dc|d10Curr[2]~feeder_combout\ = \dc|d10Next\(2)
2928
 
2929
-- pragma translate_off
2930
GENERIC MAP (
2931
        lut_mask => "1111111100000000",
2932
        sum_lutc_input => "datac")
2933
-- pragma translate_on
2934
PORT MAP (
2935
        datad => \dc|d10Next\(2),
2936
        combout => \dc|d10Curr[2]~feeder_combout\);
2937
 
2938
-- Location: FF_X18_Y13_N17
2939
\dc|d10Curr[2]\ : dffeas
2940
-- pragma translate_off
2941
GENERIC MAP (
2942
        is_wysiwyg => "true",
2943
        power_up => "low")
2944
-- pragma translate_on
2945
PORT MAP (
2946
        clk => \clk25~inputclkctrl_outclk\,
2947
        d => \dc|d10Curr[2]~feeder_combout\,
2948
        devclrn => ww_devclrn,
2949
        devpor => ww_devpor,
2950
        q => \dc|d10Curr\(2));
2951
 
2952
-- Location: LCCOMB_X18_Y14_N16
2953
\tec|Equal71~0\ : cycloneive_lcell_comb
2954
-- Equation(s):
2955
-- \tec|Equal71~0_combout\ = (\tec|sm_counter\(0) & (\tec|sm_counter\(2) & \tec|sm_counter\(1)))
2956
 
2957
-- pragma translate_off
2958
GENERIC MAP (
2959
        lut_mask => "1010000000000000",
2960
        sum_lutc_input => "datac")
2961
-- pragma translate_on
2962
PORT MAP (
2963
        dataa => \tec|sm_counter\(0),
2964
        datac => \tec|sm_counter\(2),
2965
        datad => \tec|sm_counter\(1),
2966
        combout => \tec|Equal71~0_combout\);
2967
 
2968
-- Location: LCCOMB_X17_Y16_N18
2969
\tec|Equal143~2\ : cycloneive_lcell_comb
2970
-- Equation(s):
2971
-- \tec|Equal143~2_combout\ = (\tec|Equal142~8_combout\ & (!\tec|sm_counter\(4) & (\tec|sm_counter\(3) & \tec|Equal71~0_combout\)))
2972
 
2973
-- pragma translate_off
2974
GENERIC MAP (
2975
        lut_mask => "0010000000000000",
2976
        sum_lutc_input => "datac")
2977
-- pragma translate_on
2978
PORT MAP (
2979
        dataa => \tec|Equal142~8_combout\,
2980
        datab => \tec|sm_counter\(4),
2981
        datac => \tec|sm_counter\(3),
2982
        datad => \tec|Equal71~0_combout\,
2983
        combout => \tec|Equal143~2_combout\);
2984
 
2985
-- Location: FF_X17_Y16_N19
2986
\tec|rdy\ : dffeas
2987
-- pragma translate_off
2988
GENERIC MAP (
2989
        is_wysiwyg => "true",
2990
        power_up => "low")
2991
-- pragma translate_on
2992
PORT MAP (
2993
        clk => \clk25~inputclkctrl_outclk\,
2994
        d => \tec|Equal143~2_combout\,
2995
        ena => \dc|ce~q\,
2996
        devclrn => ww_devclrn,
2997
        devpor => ww_devpor,
2998
        q => \tec|rdy~q\);
2999
 
3000
-- Location: LCCOMB_X17_Y16_N4
3001
\tec|reg_digit0[3]~0\ : cycloneive_lcell_comb
3002
-- Equation(s):
3003
-- \tec|reg_digit0[3]~0_combout\ = (\tec|rdy~q\ & \dc|ce~q\)
3004
 
3005
-- pragma translate_off
3006
GENERIC MAP (
3007
        lut_mask => "1010000010100000",
3008
        sum_lutc_input => "datac")
3009
-- pragma translate_on
3010
PORT MAP (
3011
        dataa => \tec|rdy~q\,
3012
        datac => \dc|ce~q\,
3013
        combout => \tec|reg_digit0[3]~0_combout\);
3014
 
3015
-- Location: FF_X17_Y16_N1
3016
\tec|reg_digit2[2]\ : dffeas
3017
-- pragma translate_off
3018
GENERIC MAP (
3019
        is_wysiwyg => "true",
3020
        power_up => "low")
3021
-- pragma translate_on
3022
PORT MAP (
3023
        clk => \clk25~inputclkctrl_outclk\,
3024
        asdata => \dc|d10Curr\(2),
3025
        sload => VCC,
3026
        ena => \tec|reg_digit0[3]~0_combout\,
3027
        devclrn => ww_devclrn,
3028
        devpor => ww_devpor,
3029
        q => \tec|reg_digit2\(2));
3030
 
3031
-- Location: FF_X17_Y16_N27
3032
\tec|reg_digit2[3]\ : dffeas
3033
-- pragma translate_off
3034
GENERIC MAP (
3035
        is_wysiwyg => "true",
3036
        power_up => "low")
3037
-- pragma translate_on
3038
PORT MAP (
3039
        clk => \clk25~inputclkctrl_outclk\,
3040
        asdata => \dc|d10Curr\(3),
3041
        sload => VCC,
3042
        ena => \tec|reg_digit0[3]~0_combout\,
3043
        devclrn => ww_devclrn,
3044
        devpor => ww_devpor,
3045
        q => \tec|reg_digit2\(3));
3046
 
3047
-- Location: LCCOMB_X17_Y16_N22
3048
\tec|reg_digit2[1]~feeder\ : cycloneive_lcell_comb
3049
-- Equation(s):
3050
-- \tec|reg_digit2[1]~feeder_combout\ = \dc|d10Curr\(1)
3051
 
3052
-- pragma translate_off
3053
GENERIC MAP (
3054
        lut_mask => "1111111100000000",
3055
        sum_lutc_input => "datac")
3056
-- pragma translate_on
3057
PORT MAP (
3058
        datad => \dc|d10Curr\(1),
3059
        combout => \tec|reg_digit2[1]~feeder_combout\);
3060
 
3061
-- Location: FF_X17_Y16_N23
3062
\tec|reg_digit2[1]\ : dffeas
3063
-- pragma translate_off
3064
GENERIC MAP (
3065
        is_wysiwyg => "true",
3066
        power_up => "low")
3067
-- pragma translate_on
3068
PORT MAP (
3069
        clk => \clk25~inputclkctrl_outclk\,
3070
        d => \tec|reg_digit2[1]~feeder_combout\,
3071
        ena => \tec|reg_digit0[3]~0_combout\,
3072
        devclrn => ww_devclrn,
3073
        devpor => ww_devpor,
3074
        q => \tec|reg_digit2\(1));
3075
 
3076
-- Location: FF_X17_Y16_N29
3077
\tec|reg_digit2[0]\ : dffeas
3078
-- pragma translate_off
3079
GENERIC MAP (
3080
        is_wysiwyg => "true",
3081
        power_up => "low")
3082
-- pragma translate_on
3083
PORT MAP (
3084
        clk => \clk25~inputclkctrl_outclk\,
3085
        asdata => \dc|d10Curr\(0),
3086
        sload => VCC,
3087
        ena => \tec|reg_digit0[3]~0_combout\,
3088
        devclrn => ww_devclrn,
3089
        devpor => ww_devpor,
3090
        q => \tec|reg_digit2\(0));
3091
 
3092
-- Location: LCCOMB_X17_Y16_N20
3093
\tec|Mux16~0\ : cycloneive_lcell_comb
3094
-- Equation(s):
3095
-- \tec|Mux16~0_combout\ = (\tec|reg_digit2\(2) & (\tec|reg_digit2\(3) & ((\tec|reg_digit2\(1)) # (!\tec|reg_digit2\(0))))) # (!\tec|reg_digit2\(2) & (!\tec|reg_digit2\(3) & (\tec|reg_digit2\(1) & !\tec|reg_digit2\(0))))
3096
 
3097
-- pragma translate_off
3098
GENERIC MAP (
3099
        lut_mask => "1000000010011000",
3100
        sum_lutc_input => "datac")
3101
-- pragma translate_on
3102
PORT MAP (
3103
        dataa => \tec|reg_digit2\(2),
3104
        datab => \tec|reg_digit2\(3),
3105
        datac => \tec|reg_digit2\(1),
3106
        datad => \tec|reg_digit2\(0),
3107
        combout => \tec|Mux16~0_combout\);
3108
 
3109
-- Location: LCCOMB_X18_Y14_N30
3110
\tec|Selector1~4\ : cycloneive_lcell_comb
3111
-- Equation(s):
3112
-- \tec|Selector1~4_combout\ = (\tec|Equal7~0_combout\ & (!\tec|sm_counter\(2) & (\tec|Equal80~0_combout\ & !\tec|Mux16~0_combout\)))
3113
 
3114
-- pragma translate_off
3115
GENERIC MAP (
3116
        lut_mask => "0000000000100000",
3117
        sum_lutc_input => "datac")
3118
-- pragma translate_on
3119
PORT MAP (
3120
        dataa => \tec|Equal7~0_combout\,
3121
        datab => \tec|sm_counter\(2),
3122
        datac => \tec|Equal80~0_combout\,
3123
        datad => \tec|Mux16~0_combout\,
3124
        combout => \tec|Selector1~4_combout\);
3125
 
3126
-- Location: LCCOMB_X19_Y12_N24
3127
\tec|Equal8~0\ : cycloneive_lcell_comb
3128
-- Equation(s):
3129
-- \tec|Equal8~0_combout\ = (!\tec|sm_counter\(2) & \tec|sm_counter\(3))
3130
 
3131
-- pragma translate_off
3132
GENERIC MAP (
3133
        lut_mask => "0011000000110000",
3134
        sum_lutc_input => "datac")
3135
-- pragma translate_on
3136
PORT MAP (
3137
        datab => \tec|sm_counter\(2),
3138
        datac => \tec|sm_counter\(3),
3139
        combout => \tec|Equal8~0_combout\);
3140
 
3141
-- Location: LCCOMB_X19_Y12_N10
3142
\tec|Equal8~1\ : cycloneive_lcell_comb
3143
-- Equation(s):
3144
-- \tec|Equal8~1_combout\ = (\tec|Equal64~0_combout\ & (!\tec|sm_counter\(1) & (!\tec|sm_counter\(4) & \tec|Equal8~0_combout\)))
3145
 
3146
-- pragma translate_off
3147
GENERIC MAP (
3148
        lut_mask => "0000001000000000",
3149
        sum_lutc_input => "datac")
3150
-- pragma translate_on
3151
PORT MAP (
3152
        dataa => \tec|Equal64~0_combout\,
3153
        datab => \tec|sm_counter\(1),
3154
        datac => \tec|sm_counter\(4),
3155
        datad => \tec|Equal8~0_combout\,
3156
        combout => \tec|Equal8~1_combout\);
3157
 
3158
-- Location: LCCOMB_X17_Y15_N24
3159
\dc|d100Next~0\ : cycloneive_lcell_comb
3160
-- Equation(s):
3161
-- \dc|d100Next~0_combout\ = \dc|d100Curr\(0) $ (((\dc|Equal3~0_combout\ & \dc|Equal2~0_combout\)))
3162
 
3163
-- pragma translate_off
3164
GENERIC MAP (
3165
        lut_mask => "0110011011001100",
3166
        sum_lutc_input => "datac")
3167
-- pragma translate_on
3168
PORT MAP (
3169
        dataa => \dc|Equal3~0_combout\,
3170
        datab => \dc|d100Curr\(0),
3171
        datad => \dc|Equal2~0_combout\,
3172
        combout => \dc|d100Next~0_combout\);
3173
 
3174
-- Location: FF_X17_Y15_N25
3175
\dc|d100Next[0]\ : dffeas
3176
-- pragma translate_off
3177
GENERIC MAP (
3178
        is_wysiwyg => "true",
3179
        power_up => "low")
3180
-- pragma translate_on
3181
PORT MAP (
3182
        clk => \clk25~inputclkctrl_outclk\,
3183
        d => \dc|d100Next~0_combout\,
3184
        ena => \dc|ce~q\,
3185
        devclrn => ww_devclrn,
3186
        devpor => ww_devpor,
3187
        q => \dc|d100Next\(0));
3188
 
3189
-- Location: LCCOMB_X17_Y15_N22
3190
\dc|d100Curr[0]~feeder\ : cycloneive_lcell_comb
3191
-- Equation(s):
3192
-- \dc|d100Curr[0]~feeder_combout\ = \dc|d100Next\(0)
3193
 
3194
-- pragma translate_off
3195
GENERIC MAP (
3196
        lut_mask => "1111111100000000",
3197
        sum_lutc_input => "datac")
3198
-- pragma translate_on
3199
PORT MAP (
3200
        datad => \dc|d100Next\(0),
3201
        combout => \dc|d100Curr[0]~feeder_combout\);
3202
 
3203
-- Location: FF_X17_Y15_N23
3204
\dc|d100Curr[0]\ : dffeas
3205
-- pragma translate_off
3206
GENERIC MAP (
3207
        is_wysiwyg => "true",
3208
        power_up => "low")
3209
-- pragma translate_on
3210
PORT MAP (
3211
        clk => \clk25~inputclkctrl_outclk\,
3212
        d => \dc|d100Curr[0]~feeder_combout\,
3213
        devclrn => ww_devclrn,
3214
        devpor => ww_devpor,
3215
        q => \dc|d100Curr\(0));
3216
 
3217
-- Location: FF_X16_Y14_N25
3218
\tec|reg_digit1[0]\ : dffeas
3219
-- pragma translate_off
3220
GENERIC MAP (
3221
        is_wysiwyg => "true",
3222
        power_up => "low")
3223
-- pragma translate_on
3224
PORT MAP (
3225
        clk => \clk25~inputclkctrl_outclk\,
3226
        asdata => \dc|d100Curr\(0),
3227
        sload => VCC,
3228
        ena => \tec|reg_digit0[3]~0_combout\,
3229
        devclrn => ww_devclrn,
3230
        devpor => ww_devpor,
3231
        q => \tec|reg_digit1\(0));
3232
 
3233
-- Location: LCCOMB_X17_Y15_N16
3234
\dc|process_1~0\ : cycloneive_lcell_comb
3235
-- Equation(s):
3236
-- \dc|process_1~0_combout\ = (\dc|Equal3~0_combout\ & \dc|Equal2~0_combout\)
3237
 
3238
-- pragma translate_off
3239
GENERIC MAP (
3240
        lut_mask => "1010101000000000",
3241
        sum_lutc_input => "datac")
3242
-- pragma translate_on
3243
PORT MAP (
3244
        dataa => \dc|Equal3~0_combout\,
3245
        datad => \dc|Equal2~0_combout\,
3246
        combout => \dc|process_1~0_combout\);
3247
 
3248
-- Location: LCCOMB_X17_Y15_N18
3249
\dc|Add2~0\ : cycloneive_lcell_comb
3250
-- Equation(s):
3251
-- \dc|Add2~0_combout\ = \dc|d100Curr\(3) $ (((\dc|d100Curr\(2) & (\dc|d100Curr\(1) & \dc|d100Curr\(0)))))
3252
 
3253
-- pragma translate_off
3254
GENERIC MAP (
3255
        lut_mask => "0111100011110000",
3256
        sum_lutc_input => "datac")
3257
-- pragma translate_on
3258
PORT MAP (
3259
        dataa => \dc|d100Curr\(2),
3260
        datab => \dc|d100Curr\(1),
3261
        datac => \dc|d100Curr\(3),
3262
        datad => \dc|d100Curr\(0),
3263
        combout => \dc|Add2~0_combout\);
3264
 
3265
-- Location: LCCOMB_X17_Y15_N14
3266
\dc|d100Next~3\ : cycloneive_lcell_comb
3267
-- Equation(s):
3268
-- \dc|d100Next~3_combout\ = (!\dc|process_1~2_combout\ & ((\dc|process_1~0_combout\ & (\dc|Add2~0_combout\)) # (!\dc|process_1~0_combout\ & ((\dc|d100Curr\(3))))))
3269
 
3270
-- pragma translate_off
3271
GENERIC MAP (
3272
        lut_mask => "0000101100001000",
3273
        sum_lutc_input => "datac")
3274
-- pragma translate_on
3275
PORT MAP (
3276
        dataa => \dc|Add2~0_combout\,
3277
        datab => \dc|process_1~0_combout\,
3278
        datac => \dc|process_1~2_combout\,
3279
        datad => \dc|d100Curr\(3),
3280
        combout => \dc|d100Next~3_combout\);
3281
 
3282
-- Location: FF_X17_Y15_N15
3283
\dc|d100Next[3]\ : dffeas
3284
-- pragma translate_off
3285
GENERIC MAP (
3286
        is_wysiwyg => "true",
3287
        power_up => "low")
3288
-- pragma translate_on
3289
PORT MAP (
3290
        clk => \clk25~inputclkctrl_outclk\,
3291
        d => \dc|d100Next~3_combout\,
3292
        ena => \dc|ce~q\,
3293
        devclrn => ww_devclrn,
3294
        devpor => ww_devpor,
3295
        q => \dc|d100Next\(3));
3296
 
3297
-- Location: FF_X17_Y15_N19
3298
\dc|d100Curr[3]\ : dffeas
3299
-- pragma translate_off
3300
GENERIC MAP (
3301
        is_wysiwyg => "true",
3302
        power_up => "low")
3303
-- pragma translate_on
3304
PORT MAP (
3305
        clk => \clk25~inputclkctrl_outclk\,
3306
        asdata => \dc|d100Next\(3),
3307
        sload => VCC,
3308
        devclrn => ww_devclrn,
3309
        devpor => ww_devpor,
3310
        q => \dc|d100Curr\(3));
3311
 
3312
-- Location: LCCOMB_X17_Y15_N28
3313
\dc|process_1~1\ : cycloneive_lcell_comb
3314
-- Equation(s):
3315
-- \dc|process_1~1_combout\ = (!\dc|d100Curr\(2) & (\dc|d100Curr\(0) & (!\dc|d100Curr\(1) & \dc|d100Curr\(3))))
3316
 
3317
-- pragma translate_off
3318
GENERIC MAP (
3319
        lut_mask => "0000010000000000",
3320
        sum_lutc_input => "datac")
3321
-- pragma translate_on
3322
PORT MAP (
3323
        dataa => \dc|d100Curr\(2),
3324
        datab => \dc|d100Curr\(0),
3325
        datac => \dc|d100Curr\(1),
3326
        datad => \dc|d100Curr\(3),
3327
        combout => \dc|process_1~1_combout\);
3328
 
3329
-- Location: LCCOMB_X17_Y15_N26
3330
\dc|process_1~2\ : cycloneive_lcell_comb
3331
-- Equation(s):
3332
-- \dc|process_1~2_combout\ = (\dc|Equal3~0_combout\ & (\dc|process_1~1_combout\ & \dc|Equal2~0_combout\))
3333
 
3334
-- pragma translate_off
3335
GENERIC MAP (
3336
        lut_mask => "1000100000000000",
3337
        sum_lutc_input => "datac")
3338
-- pragma translate_on
3339
PORT MAP (
3340
        dataa => \dc|Equal3~0_combout\,
3341
        datab => \dc|process_1~1_combout\,
3342
        datad => \dc|Equal2~0_combout\,
3343
        combout => \dc|process_1~2_combout\);
3344
 
3345
-- Location: LCCOMB_X17_Y15_N8
3346
\dc|d100Next~1\ : cycloneive_lcell_comb
3347
-- Equation(s):
3348
-- \dc|d100Next~1_combout\ = (!\dc|process_1~2_combout\ & (\dc|d100Curr\(1) $ (((\dc|process_1~0_combout\ & \dc|d100Curr\(0))))))
3349
 
3350
-- pragma translate_off
3351
GENERIC MAP (
3352
        lut_mask => "0001010001010000",
3353
        sum_lutc_input => "datac")
3354
-- pragma translate_on
3355
PORT MAP (
3356
        dataa => \dc|process_1~2_combout\,
3357
        datab => \dc|process_1~0_combout\,
3358
        datac => \dc|d100Curr\(1),
3359
        datad => \dc|d100Curr\(0),
3360
        combout => \dc|d100Next~1_combout\);
3361
 
3362
-- Location: FF_X17_Y15_N9
3363
\dc|d100Next[1]\ : dffeas
3364
-- pragma translate_off
3365
GENERIC MAP (
3366
        is_wysiwyg => "true",
3367
        power_up => "low")
3368
-- pragma translate_on
3369
PORT MAP (
3370
        clk => \clk25~inputclkctrl_outclk\,
3371
        d => \dc|d100Next~1_combout\,
3372
        ena => \dc|ce~q\,
3373
        devclrn => ww_devclrn,
3374
        devpor => ww_devpor,
3375
        q => \dc|d100Next\(1));
3376
 
3377
-- Location: LCCOMB_X17_Y15_N10
3378
\dc|d100Curr[1]~feeder\ : cycloneive_lcell_comb
3379
-- Equation(s):
3380
-- \dc|d100Curr[1]~feeder_combout\ = \dc|d100Next\(1)
3381
 
3382
-- pragma translate_off
3383
GENERIC MAP (
3384
        lut_mask => "1111111100000000",
3385
        sum_lutc_input => "datac")
3386
-- pragma translate_on
3387
PORT MAP (
3388
        datad => \dc|d100Next\(1),
3389
        combout => \dc|d100Curr[1]~feeder_combout\);
3390
 
3391
-- Location: FF_X17_Y15_N11
3392
\dc|d100Curr[1]\ : dffeas
3393
-- pragma translate_off
3394
GENERIC MAP (
3395
        is_wysiwyg => "true",
3396
        power_up => "low")
3397
-- pragma translate_on
3398
PORT MAP (
3399
        clk => \clk25~inputclkctrl_outclk\,
3400
        d => \dc|d100Curr[1]~feeder_combout\,
3401
        devclrn => ww_devclrn,
3402
        devpor => ww_devpor,
3403
        q => \dc|d100Curr\(1));
3404
 
3405
-- Location: LCCOMB_X17_Y15_N20
3406
\dc|d100Next~2\ : cycloneive_lcell_comb
3407
-- Equation(s):
3408
-- \dc|d100Next~2_combout\ = \dc|d100Curr\(2) $ (((\dc|process_1~0_combout\ & (\dc|d100Curr\(1) & \dc|d100Curr\(0)))))
3409
 
3410
-- pragma translate_off
3411
GENERIC MAP (
3412
        lut_mask => "0110101010101010",
3413
        sum_lutc_input => "datac")
3414
-- pragma translate_on
3415
PORT MAP (
3416
        dataa => \dc|d100Curr\(2),
3417
        datab => \dc|process_1~0_combout\,
3418
        datac => \dc|d100Curr\(1),
3419
        datad => \dc|d100Curr\(0),
3420
        combout => \dc|d100Next~2_combout\);
3421
 
3422
-- Location: FF_X17_Y15_N21
3423
\dc|d100Next[2]\ : dffeas
3424
-- pragma translate_off
3425
GENERIC MAP (
3426
        is_wysiwyg => "true",
3427
        power_up => "low")
3428
-- pragma translate_on
3429
PORT MAP (
3430
        clk => \clk25~inputclkctrl_outclk\,
3431
        d => \dc|d100Next~2_combout\,
3432
        ena => \dc|ce~q\,
3433
        devclrn => ww_devclrn,
3434
        devpor => ww_devpor,
3435
        q => \dc|d100Next\(2));
3436
 
3437
-- Location: LCCOMB_X17_Y15_N0
3438
\dc|d100Curr[2]~feeder\ : cycloneive_lcell_comb
3439
-- Equation(s):
3440
-- \dc|d100Curr[2]~feeder_combout\ = \dc|d100Next\(2)
3441
 
3442
-- pragma translate_off
3443
GENERIC MAP (
3444
        lut_mask => "1111111100000000",
3445
        sum_lutc_input => "datac")
3446
-- pragma translate_on
3447
PORT MAP (
3448
        datad => \dc|d100Next\(2),
3449
        combout => \dc|d100Curr[2]~feeder_combout\);
3450
 
3451
-- Location: FF_X17_Y15_N1
3452
\dc|d100Curr[2]\ : dffeas
3453
-- pragma translate_off
3454
GENERIC MAP (
3455
        is_wysiwyg => "true",
3456
        power_up => "low")
3457
-- pragma translate_on
3458
PORT MAP (
3459
        clk => \clk25~inputclkctrl_outclk\,
3460
        d => \dc|d100Curr[2]~feeder_combout\,
3461
        devclrn => ww_devclrn,
3462
        devpor => ww_devpor,
3463
        q => \dc|d100Curr\(2));
3464
 
3465
-- Location: FF_X16_Y15_N17
3466
\tec|reg_digit1[2]\ : dffeas
3467
-- pragma translate_off
3468
GENERIC MAP (
3469
        is_wysiwyg => "true",
3470
        power_up => "low")
3471
-- pragma translate_on
3472
PORT MAP (
3473
        clk => \clk25~inputclkctrl_outclk\,
3474
        asdata => \dc|d100Curr\(2),
3475
        sload => VCC,
3476
        ena => \tec|reg_digit0[3]~0_combout\,
3477
        devclrn => ww_devclrn,
3478
        devpor => ww_devpor,
3479
        q => \tec|reg_digit1\(2));
3480
 
3481
-- Location: FF_X16_Y15_N1
3482
\tec|reg_digit1[1]\ : dffeas
3483
-- pragma translate_off
3484
GENERIC MAP (
3485
        is_wysiwyg => "true",
3486
        power_up => "low")
3487
-- pragma translate_on
3488
PORT MAP (
3489
        clk => \clk25~inputclkctrl_outclk\,
3490
        asdata => \dc|d100Curr\(1),
3491
        sload => VCC,
3492
        ena => \tec|reg_digit0[3]~0_combout\,
3493
        devclrn => ww_devclrn,
3494
        devpor => ww_devpor,
3495
        q => \tec|reg_digit1\(1));
3496
 
3497
-- Location: FF_X16_Y14_N19
3498
\tec|reg_digit1[3]\ : dffeas
3499
-- pragma translate_off
3500
GENERIC MAP (
3501
        is_wysiwyg => "true",
3502
        power_up => "low")
3503
-- pragma translate_on
3504
PORT MAP (
3505
        clk => \clk25~inputclkctrl_outclk\,
3506
        asdata => \dc|d100Curr\(3),
3507
        sload => VCC,
3508
        ena => \tec|reg_digit0[3]~0_combout\,
3509
        devclrn => ww_devclrn,
3510
        devpor => ww_devpor,
3511
        q => \tec|reg_digit1\(3));
3512
 
3513
-- Location: LCCOMB_X16_Y14_N28
3514
\tec|Mux13~0\ : cycloneive_lcell_comb
3515
-- Equation(s):
3516
-- \tec|Mux13~0_combout\ = (\tec|reg_digit1\(0) & ((\tec|reg_digit1\(3)) # (\tec|reg_digit1\(2) $ (\tec|reg_digit1\(1))))) # (!\tec|reg_digit1\(0) & ((\tec|reg_digit1\(1)) # (\tec|reg_digit1\(2) $ (\tec|reg_digit1\(3)))))
3517
 
3518
-- pragma translate_off
3519
GENERIC MAP (
3520
        lut_mask => "1111101101111100",
3521
        sum_lutc_input => "datac")
3522
-- pragma translate_on
3523
PORT MAP (
3524
        dataa => \tec|reg_digit1\(0),
3525
        datab => \tec|reg_digit1\(2),
3526
        datac => \tec|reg_digit1\(1),
3527
        datad => \tec|reg_digit1\(3),
3528
        combout => \tec|Mux13~0_combout\);
3529
 
3530
-- Location: LCCOMB_X18_Y14_N18
3531
\tec|Equal9~1\ : cycloneive_lcell_comb
3532
-- Equation(s):
3533
-- \tec|Equal9~1_combout\ = (!\tec|sm_counter\(5) & \tec|sm_counter\(0))
3534
 
3535
-- pragma translate_off
3536
GENERIC MAP (
3537
        lut_mask => "0011000000110000",
3538
        sum_lutc_input => "datac")
3539
-- pragma translate_on
3540
PORT MAP (
3541
        datab => \tec|sm_counter\(5),
3542
        datac => \tec|sm_counter\(0),
3543
        combout => \tec|Equal9~1_combout\);
3544
 
3545
-- Location: LCCOMB_X18_Y14_N28
3546
\tec|Selector1~6\ : cycloneive_lcell_comb
3547
-- Equation(s):
3548
-- \tec|Selector1~6_combout\ = (\tec|Equal8~1_combout\ & (\tec|Mux13~0_combout\ & (\tec|sm_counter\(6) & \tec|Equal9~1_combout\)))
3549
 
3550
-- pragma translate_off
3551
GENERIC MAP (
3552
        lut_mask => "1000000000000000",
3553
        sum_lutc_input => "datac")
3554
-- pragma translate_on
3555
PORT MAP (
3556
        dataa => \tec|Equal8~1_combout\,
3557
        datab => \tec|Mux13~0_combout\,
3558
        datac => \tec|sm_counter\(6),
3559
        datad => \tec|Equal9~1_combout\,
3560
        combout => \tec|Selector1~6_combout\);
3561
 
3562
-- Location: LCCOMB_X17_Y16_N6
3563
\tec|Mux15~0\ : cycloneive_lcell_comb
3564
-- Equation(s):
3565
-- \tec|Mux15~0_combout\ = (\tec|reg_digit2\(3) & ((\tec|reg_digit2\(0) & ((\tec|reg_digit2\(1)))) # (!\tec|reg_digit2\(0) & (\tec|reg_digit2\(2))))) # (!\tec|reg_digit2\(3) & (\tec|reg_digit2\(2) & (\tec|reg_digit2\(1) $ (\tec|reg_digit2\(0)))))
3566
 
3567
-- pragma translate_off
3568
GENERIC MAP (
3569
        lut_mask => "1100001010101000",
3570
        sum_lutc_input => "datac")
3571
-- pragma translate_on
3572
PORT MAP (
3573
        dataa => \tec|reg_digit2\(2),
3574
        datab => \tec|reg_digit2\(3),
3575
        datac => \tec|reg_digit2\(1),
3576
        datad => \tec|reg_digit2\(0),
3577
        combout => \tec|Mux15~0_combout\);
3578
 
3579
-- Location: LCCOMB_X18_Y14_N20
3580
\tec|Equal1~2\ : cycloneive_lcell_comb
3581
-- Equation(s):
3582
-- \tec|Equal1~2_combout\ = (\tec|sm_counter\(0) & !\tec|sm_counter\(1))
3583
 
3584
-- pragma translate_off
3585
GENERIC MAP (
3586
        lut_mask => "0000000010101010",
3587
        sum_lutc_input => "datac")
3588
-- pragma translate_on
3589
PORT MAP (
3590
        dataa => \tec|sm_counter\(0),
3591
        datad => \tec|sm_counter\(1),
3592
        combout => \tec|Equal1~2_combout\);
3593
 
3594
-- Location: LCCOMB_X18_Y14_N24
3595
\tec|Selector1~5\ : cycloneive_lcell_comb
3596
-- Equation(s):
3597
-- \tec|Selector1~5_combout\ = (!\tec|sm_counter\(2) & (!\tec|Mux15~0_combout\ & (\tec|Equal80~0_combout\ & \tec|Equal1~2_combout\)))
3598
 
3599
-- pragma translate_off
3600
GENERIC MAP (
3601
        lut_mask => "0001000000000000",
3602
        sum_lutc_input => "datac")
3603
-- pragma translate_on
3604
PORT MAP (
3605
        dataa => \tec|sm_counter\(2),
3606
        datab => \tec|Mux15~0_combout\,
3607
        datac => \tec|Equal80~0_combout\,
3608
        datad => \tec|Equal1~2_combout\,
3609
        combout => \tec|Selector1~5_combout\);
3610
 
3611
-- Location: LCCOMB_X18_Y17_N16
3612
\tec|Equal37~0\ : cycloneive_lcell_comb
3613
-- Equation(s):
3614
-- \tec|Equal37~0_combout\ = (\tec|sm_counter\(5) & \tec|sm_counter\(0))
3615
 
3616
-- pragma translate_off
3617
GENERIC MAP (
3618
        lut_mask => "1100000011000000",
3619
        sum_lutc_input => "datac")
3620
-- pragma translate_on
3621
PORT MAP (
3622
        datab => \tec|sm_counter\(5),
3623
        datac => \tec|sm_counter\(0),
3624
        combout => \tec|Equal37~0_combout\);
3625
 
3626
-- Location: FF_X17_Y14_N31
3627
\tec|reg_digit3[1]\ : dffeas
3628
-- pragma translate_off
3629
GENERIC MAP (
3630
        is_wysiwyg => "true",
3631
        power_up => "low")
3632
-- pragma translate_on
3633
PORT MAP (
3634
        clk => \clk25~inputclkctrl_outclk\,
3635
        asdata => \dc|d1Curr\(1),
3636
        sload => VCC,
3637
        ena => \tec|reg_digit0[3]~0_combout\,
3638
        devclrn => ww_devclrn,
3639
        devpor => ww_devpor,
3640
        q => \tec|reg_digit3\(1));
3641
 
3642
-- Location: FF_X17_Y14_N21
3643
\tec|reg_digit3[0]\ : dffeas
3644
-- pragma translate_off
3645
GENERIC MAP (
3646
        is_wysiwyg => "true",
3647
        power_up => "low")
3648
-- pragma translate_on
3649
PORT MAP (
3650
        clk => \clk25~inputclkctrl_outclk\,
3651
        asdata => \dc|d1Curr\(0),
3652
        sload => VCC,
3653
        ena => \tec|reg_digit0[3]~0_combout\,
3654
        devclrn => ww_devclrn,
3655
        devpor => ww_devpor,
3656
        q => \tec|reg_digit3\(0));
3657
 
3658
-- Location: FF_X17_Y14_N19
3659
\tec|reg_digit3[3]\ : dffeas
3660
-- pragma translate_off
3661
GENERIC MAP (
3662
        is_wysiwyg => "true",
3663
        power_up => "low")
3664
-- pragma translate_on
3665
PORT MAP (
3666
        clk => \clk25~inputclkctrl_outclk\,
3667
        asdata => \dc|d1Curr\(3),
3668
        sload => VCC,
3669
        ena => \tec|reg_digit0[3]~0_combout\,
3670
        devclrn => ww_devclrn,
3671
        devpor => ww_devpor,
3672
        q => \tec|reg_digit3\(3));
3673
 
3674
-- Location: FF_X17_Y14_N17
3675
\tec|reg_digit3[2]\ : dffeas
3676
-- pragma translate_off
3677
GENERIC MAP (
3678
        is_wysiwyg => "true",
3679
        power_up => "low")
3680
-- pragma translate_on
3681
PORT MAP (
3682
        clk => \clk25~inputclkctrl_outclk\,
3683
        asdata => \dc|d1Curr\(2),
3684
        sload => VCC,
3685
        ena => \tec|reg_digit0[3]~0_combout\,
3686
        devclrn => ww_devclrn,
3687
        devpor => ww_devpor,
3688
        q => \tec|reg_digit3\(2));
3689
 
3690
-- Location: LCCOMB_X17_Y14_N28
3691
\tec|Mux25~0\ : cycloneive_lcell_comb
3692
-- Equation(s):
3693
-- \tec|Mux25~0_combout\ = (\tec|reg_digit3\(1) & (\tec|reg_digit3\(0) & (!\tec|reg_digit3\(3)))) # (!\tec|reg_digit3\(1) & ((\tec|reg_digit3\(2) & ((!\tec|reg_digit3\(3)))) # (!\tec|reg_digit3\(2) & (\tec|reg_digit3\(0)))))
3694
 
3695
-- pragma translate_off
3696
GENERIC MAP (
3697
        lut_mask => "0000110101001100",
3698
        sum_lutc_input => "datac")
3699
-- pragma translate_on
3700
PORT MAP (
3701
        dataa => \tec|reg_digit3\(1),
3702
        datab => \tec|reg_digit3\(0),
3703
        datac => \tec|reg_digit3\(3),
3704
        datad => \tec|reg_digit3\(2),
3705
        combout => \tec|Mux25~0_combout\);
3706
 
3707
-- Location: LCCOMB_X18_Y14_N12
3708
\tec|Selector1~3\ : cycloneive_lcell_comb
3709
-- Equation(s):
3710
-- \tec|Selector1~3_combout\ = (\tec|Equal37~0_combout\ & (!\tec|Mux25~0_combout\ & (\tec|sm_counter\(6) & \tec|Equal8~1_combout\)))
3711
 
3712
-- pragma translate_off
3713
GENERIC MAP (
3714
        lut_mask => "0010000000000000",
3715
        sum_lutc_input => "datac")
3716
-- pragma translate_on
3717
PORT MAP (
3718
        dataa => \tec|Equal37~0_combout\,
3719
        datab => \tec|Mux25~0_combout\,
3720
        datac => \tec|sm_counter\(6),
3721
        datad => \tec|Equal8~1_combout\,
3722
        combout => \tec|Selector1~3_combout\);
3723
 
3724
-- Location: LCCOMB_X18_Y14_N6
3725
\tec|Selector1~7\ : cycloneive_lcell_comb
3726
-- Equation(s):
3727
-- \tec|Selector1~7_combout\ = (\tec|Selector1~4_combout\) # ((\tec|Selector1~6_combout\) # ((\tec|Selector1~5_combout\) # (\tec|Selector1~3_combout\)))
3728
 
3729
-- pragma translate_off
3730
GENERIC MAP (
3731
        lut_mask => "1111111111111110",
3732
        sum_lutc_input => "datac")
3733
-- pragma translate_on
3734
PORT MAP (
3735
        dataa => \tec|Selector1~4_combout\,
3736
        datab => \tec|Selector1~6_combout\,
3737
        datac => \tec|Selector1~5_combout\,
3738
        datad => \tec|Selector1~3_combout\,
3739
        combout => \tec|Selector1~7_combout\);
3740
 
3741
-- Location: LCCOMB_X16_Y14_N26
3742
\tec|Mux9~0\ : cycloneive_lcell_comb
3743
-- Equation(s):
3744
-- \tec|Mux9~0_combout\ = (\tec|reg_digit1\(2) & (\tec|reg_digit1\(3) & ((\tec|reg_digit1\(1)) # (!\tec|reg_digit1\(0))))) # (!\tec|reg_digit1\(2) & (!\tec|reg_digit1\(0) & (\tec|reg_digit1\(1) & !\tec|reg_digit1\(3))))
3745
 
3746
-- pragma translate_off
3747
GENERIC MAP (
3748
        lut_mask => "1100010000010000",
3749
        sum_lutc_input => "datac")
3750
-- pragma translate_on
3751
PORT MAP (
3752
        dataa => \tec|reg_digit1\(0),
3753
        datab => \tec|reg_digit1\(2),
3754
        datac => \tec|reg_digit1\(1),
3755
        datad => \tec|reg_digit1\(3),
3756
        combout => \tec|Mux9~0_combout\);
3757
 
3758
-- Location: LCCOMB_X17_Y10_N22
3759
\tec|Equal64~2\ : cycloneive_lcell_comb
3760
-- Equation(s):
3761
-- \tec|Equal64~2_combout\ = (!\tec|sm_counter\(7) & (!\tec|sm_counter\(4) & (\tec|Equal24~2_combout\ & !\tec|sm_counter\(5))))
3762
 
3763
-- pragma translate_off
3764
GENERIC MAP (
3765
        lut_mask => "0000000000010000",
3766
        sum_lutc_input => "datac")
3767
-- pragma translate_on
3768
PORT MAP (
3769
        dataa => \tec|sm_counter\(7),
3770
        datab => \tec|sm_counter\(4),
3771
        datac => \tec|Equal24~2_combout\,
3772
        datad => \tec|sm_counter\(5),
3773
        combout => \tec|Equal64~2_combout\);
3774
 
3775
-- Location: LCCOMB_X17_Y10_N16
3776
\tec|Equal64~3\ : cycloneive_lcell_comb
3777
-- Equation(s):
3778
-- \tec|Equal64~3_combout\ = (\tec|Equal64~2_combout\ & (\tec|Equal64~1_combout\ & (\tec|Equal142~5_combout\ & \tec|Equal142~0_combout\)))
3779
 
3780
-- pragma translate_off
3781
GENERIC MAP (
3782
        lut_mask => "1000000000000000",
3783
        sum_lutc_input => "datac")
3784
-- pragma translate_on
3785
PORT MAP (
3786
        dataa => \tec|Equal64~2_combout\,
3787
        datab => \tec|Equal64~1_combout\,
3788
        datac => \tec|Equal142~5_combout\,
3789
        datad => \tec|Equal142~0_combout\,
3790
        combout => \tec|Equal64~3_combout\);
3791
 
3792
-- Location: LCCOMB_X16_Y14_N12
3793
\tec|Selector1~9\ : cycloneive_lcell_comb
3794
-- Equation(s):
3795
-- \tec|Selector1~9_combout\ = (!\tec|Mux9~0_combout\ & (\tec|Equal64~3_combout\ & (!\tec|sm_counter\(2) & \tec|Equal1~2_combout\)))
3796
 
3797
-- pragma translate_off
3798
GENERIC MAP (
3799
        lut_mask => "0000010000000000",
3800
        sum_lutc_input => "datac")
3801
-- pragma translate_on
3802
PORT MAP (
3803
        dataa => \tec|Mux9~0_combout\,
3804
        datab => \tec|Equal64~3_combout\,
3805
        datac => \tec|sm_counter\(2),
3806
        datad => \tec|Equal1~2_combout\,
3807
        combout => \tec|Selector1~9_combout\);
3808
 
3809
-- Location: LCCOMB_X16_Y14_N30
3810
\tec|Mux10~0\ : cycloneive_lcell_comb
3811
-- Equation(s):
3812
-- \tec|Mux10~0_combout\ = (\tec|reg_digit1\(1) & ((\tec|reg_digit1\(0) & (\tec|reg_digit1\(2))) # (!\tec|reg_digit1\(0) & (!\tec|reg_digit1\(2) & \tec|reg_digit1\(3))))) # (!\tec|reg_digit1\(1) & (!\tec|reg_digit1\(3) & (\tec|reg_digit1\(0) $
3813
-- (\tec|reg_digit1\(2)))))
3814
 
3815
-- pragma translate_off
3816
GENERIC MAP (
3817
        lut_mask => "1001000010000110",
3818
        sum_lutc_input => "datac")
3819
-- pragma translate_on
3820
PORT MAP (
3821
        dataa => \tec|reg_digit1\(0),
3822
        datab => \tec|reg_digit1\(2),
3823
        datac => \tec|reg_digit1\(1),
3824
        datad => \tec|reg_digit1\(3),
3825
        combout => \tec|Mux10~0_combout\);
3826
 
3827
-- Location: LCCOMB_X16_Y14_N16
3828
\tec|Selector1~8\ : cycloneive_lcell_comb
3829
-- Equation(s):
3830
-- \tec|Selector1~8_combout\ = (!\tec|Mux10~0_combout\ & (\tec|Equal64~3_combout\ & (!\tec|sm_counter\(2) & \tec|Equal7~0_combout\)))
3831
 
3832
-- pragma translate_off
3833
GENERIC MAP (
3834
        lut_mask => "0000010000000000",
3835
        sum_lutc_input => "datac")
3836
-- pragma translate_on
3837
PORT MAP (
3838
        dataa => \tec|Mux10~0_combout\,
3839
        datab => \tec|Equal64~3_combout\,
3840
        datac => \tec|sm_counter\(2),
3841
        datad => \tec|Equal7~0_combout\,
3842
        combout => \tec|Selector1~8_combout\);
3843
 
3844
-- Location: LCCOMB_X17_Y15_N30
3845
\dc|d1000Next~0\ : cycloneive_lcell_comb
3846
-- Equation(s):
3847
-- \dc|d1000Next~0_combout\ = \dc|d1000Curr\(0) $ (((\dc|process_1~1_combout\ & (\dc|Equal3~0_combout\ & \dc|Equal2~0_combout\))))
3848
 
3849
-- pragma translate_off
3850
GENERIC MAP (
3851
        lut_mask => "0110101010101010",
3852
        sum_lutc_input => "datac")
3853
-- pragma translate_on
3854
PORT MAP (
3855
        dataa => \dc|d1000Curr\(0),
3856
        datab => \dc|process_1~1_combout\,
3857
        datac => \dc|Equal3~0_combout\,
3858
        datad => \dc|Equal2~0_combout\,
3859
        combout => \dc|d1000Next~0_combout\);
3860
 
3861
-- Location: FF_X17_Y15_N31
3862
\dc|d1000Next[0]\ : dffeas
3863
-- pragma translate_off
3864
GENERIC MAP (
3865
        is_wysiwyg => "true",
3866
        power_up => "low")
3867
-- pragma translate_on
3868
PORT MAP (
3869
        clk => \clk25~inputclkctrl_outclk\,
3870
        d => \dc|d1000Next~0_combout\,
3871
        ena => \dc|ce~q\,
3872
        devclrn => ww_devclrn,
3873
        devpor => ww_devpor,
3874
        q => \dc|d1000Next\(0));
3875
 
3876
-- Location: FF_X17_Y15_N7
3877
\dc|d1000Curr[0]\ : dffeas
3878
-- pragma translate_off
3879
GENERIC MAP (
3880
        is_wysiwyg => "true",
3881
        power_up => "low")
3882
-- pragma translate_on
3883
PORT MAP (
3884
        clk => \clk25~inputclkctrl_outclk\,
3885
        asdata => \dc|d1000Next\(0),
3886
        sload => VCC,
3887
        devclrn => ww_devclrn,
3888
        devpor => ww_devpor,
3889
        q => \dc|d1000Curr\(0));
3890
 
3891
-- Location: LCCOMB_X18_Y15_N16
3892
\dc|Add1~0\ : cycloneive_lcell_comb
3893
-- Equation(s):
3894
-- \dc|Add1~0_combout\ = \dc|d1000Curr\(3) $ (((\dc|d1000Curr\(1) & (\dc|d1000Curr\(0) & \dc|d1000Curr\(2)))))
3895
 
3896
-- pragma translate_off
3897
GENERIC MAP (
3898
        lut_mask => "0111111110000000",
3899
        sum_lutc_input => "datac")
3900
-- pragma translate_on
3901
PORT MAP (
3902
        dataa => \dc|d1000Curr\(1),
3903
        datab => \dc|d1000Curr\(0),
3904
        datac => \dc|d1000Curr\(2),
3905
        datad => \dc|d1000Curr\(3),
3906
        combout => \dc|Add1~0_combout\);
3907
 
3908
-- Location: LCCOMB_X17_Y15_N12
3909
\dc|d1000Next~3\ : cycloneive_lcell_comb
3910
-- Equation(s):
3911
-- \dc|d1000Next~3_combout\ = (\dc|process_1~2_combout\ & (\dc|Add1~0_combout\ & (!\dc|process_1~3_combout\))) # (!\dc|process_1~2_combout\ & (((\dc|d1000Curr\(3)))))
3912
 
3913
-- pragma translate_off
3914
GENERIC MAP (
3915
        lut_mask => "0010111100100000",
3916
        sum_lutc_input => "datac")
3917
-- pragma translate_on
3918
PORT MAP (
3919
        dataa => \dc|Add1~0_combout\,
3920
        datab => \dc|process_1~3_combout\,
3921
        datac => \dc|process_1~2_combout\,
3922
        datad => \dc|d1000Curr\(3),
3923
        combout => \dc|d1000Next~3_combout\);
3924
 
3925
-- Location: FF_X17_Y15_N13
3926
\dc|d1000Next[3]\ : dffeas
3927
-- pragma translate_off
3928
GENERIC MAP (
3929
        is_wysiwyg => "true",
3930
        power_up => "low")
3931
-- pragma translate_on
3932
PORT MAP (
3933
        clk => \clk25~inputclkctrl_outclk\,
3934
        d => \dc|d1000Next~3_combout\,
3935
        ena => \dc|ce~q\,
3936
        devclrn => ww_devclrn,
3937
        devpor => ww_devpor,
3938
        q => \dc|d1000Next\(3));
3939
 
3940
-- Location: LCCOMB_X18_Y15_N22
3941
\dc|d1000Curr[3]~feeder\ : cycloneive_lcell_comb
3942
-- Equation(s):
3943
-- \dc|d1000Curr[3]~feeder_combout\ = \dc|d1000Next\(3)
3944
 
3945
-- pragma translate_off
3946
GENERIC MAP (
3947
        lut_mask => "1111111100000000",
3948
        sum_lutc_input => "datac")
3949
-- pragma translate_on
3950
PORT MAP (
3951
        datad => \dc|d1000Next\(3),
3952
        combout => \dc|d1000Curr[3]~feeder_combout\);
3953
 
3954
-- Location: FF_X18_Y15_N23
3955
\dc|d1000Curr[3]\ : dffeas
3956
-- pragma translate_off
3957
GENERIC MAP (
3958
        is_wysiwyg => "true",
3959
        power_up => "low")
3960
-- pragma translate_on
3961
PORT MAP (
3962
        clk => \clk25~inputclkctrl_outclk\,
3963
        d => \dc|d1000Curr[3]~feeder_combout\,
3964
        devclrn => ww_devclrn,
3965
        devpor => ww_devpor,
3966
        q => \dc|d1000Curr\(3));
3967
 
3968
-- Location: LCCOMB_X17_Y15_N6
3969
\dc|process_1~3\ : cycloneive_lcell_comb
3970
-- Equation(s):
3971
-- \dc|process_1~3_combout\ = (!\dc|d1000Curr\(1) & (!\dc|d1000Curr\(2) & (\dc|d1000Curr\(0) & \dc|d1000Curr\(3))))
3972
 
3973
-- pragma translate_off
3974
GENERIC MAP (
3975
        lut_mask => "0001000000000000",
3976
        sum_lutc_input => "datac")
3977
-- pragma translate_on
3978
PORT MAP (
3979
        dataa => \dc|d1000Curr\(1),
3980
        datab => \dc|d1000Curr\(2),
3981
        datac => \dc|d1000Curr\(0),
3982
        datad => \dc|d1000Curr\(3),
3983
        combout => \dc|process_1~3_combout\);
3984
 
3985
-- Location: LCCOMB_X17_Y15_N2
3986
\dc|d1000Next~1\ : cycloneive_lcell_comb
3987
-- Equation(s):
3988
-- \dc|d1000Next~1_combout\ = (\dc|process_1~2_combout\ & (!\dc|process_1~3_combout\ & (\dc|d1000Curr\(1) $ (\dc|d1000Curr\(0))))) # (!\dc|process_1~2_combout\ & (((\dc|d1000Curr\(1)))))
3989
 
3990
-- pragma translate_off
3991
GENERIC MAP (
3992
        lut_mask => "0101001001110000",
3993
        sum_lutc_input => "datac")
3994
-- pragma translate_on
3995
PORT MAP (
3996
        dataa => \dc|process_1~2_combout\,
3997
        datab => \dc|process_1~3_combout\,
3998
        datac => \dc|d1000Curr\(1),
3999
        datad => \dc|d1000Curr\(0),
4000
        combout => \dc|d1000Next~1_combout\);
4001
 
4002
-- Location: FF_X17_Y15_N3
4003
\dc|d1000Next[1]\ : dffeas
4004
-- pragma translate_off
4005
GENERIC MAP (
4006
        is_wysiwyg => "true",
4007
        power_up => "low")
4008
-- pragma translate_on
4009
PORT MAP (
4010
        clk => \clk25~inputclkctrl_outclk\,
4011
        d => \dc|d1000Next~1_combout\,
4012
        ena => \dc|ce~q\,
4013
        devclrn => ww_devclrn,
4014
        devpor => ww_devpor,
4015
        q => \dc|d1000Next\(1));
4016
 
4017
-- Location: FF_X17_Y15_N17
4018
\dc|d1000Curr[1]\ : dffeas
4019
-- pragma translate_off
4020
GENERIC MAP (
4021
        is_wysiwyg => "true",
4022
        power_up => "low")
4023
-- pragma translate_on
4024
PORT MAP (
4025
        clk => \clk25~inputclkctrl_outclk\,
4026
        asdata => \dc|d1000Next\(1),
4027
        sload => VCC,
4028
        devclrn => ww_devclrn,
4029
        devpor => ww_devpor,
4030
        q => \dc|d1000Curr\(1));
4031
 
4032
-- Location: LCCOMB_X17_Y15_N4
4033
\dc|d1000Next~2\ : cycloneive_lcell_comb
4034
-- Equation(s):
4035
-- \dc|d1000Next~2_combout\ = \dc|d1000Curr\(2) $ (((\dc|d1000Curr\(1) & (\dc|process_1~2_combout\ & \dc|d1000Curr\(0)))))
4036
 
4037
-- pragma translate_off
4038
GENERIC MAP (
4039
        lut_mask => "0110110011001100",
4040
        sum_lutc_input => "datac")
4041
-- pragma translate_on
4042
PORT MAP (
4043
        dataa => \dc|d1000Curr\(1),
4044
        datab => \dc|d1000Curr\(2),
4045
        datac => \dc|process_1~2_combout\,
4046
        datad => \dc|d1000Curr\(0),
4047
        combout => \dc|d1000Next~2_combout\);
4048
 
4049
-- Location: FF_X17_Y15_N5
4050
\dc|d1000Next[2]\ : dffeas
4051
-- pragma translate_off
4052
GENERIC MAP (
4053
        is_wysiwyg => "true",
4054
        power_up => "low")
4055
-- pragma translate_on
4056
PORT MAP (
4057
        clk => \clk25~inputclkctrl_outclk\,
4058
        d => \dc|d1000Next~2_combout\,
4059
        ena => \dc|ce~q\,
4060
        devclrn => ww_devclrn,
4061
        devpor => ww_devpor,
4062
        q => \dc|d1000Next\(2));
4063
 
4064
-- Location: FF_X17_Y15_N27
4065
\dc|d1000Curr[2]\ : dffeas
4066
-- pragma translate_off
4067
GENERIC MAP (
4068
        is_wysiwyg => "true",
4069
        power_up => "low")
4070
-- pragma translate_on
4071
PORT MAP (
4072
        clk => \clk25~inputclkctrl_outclk\,
4073
        asdata => \dc|d1000Next\(2),
4074
        sload => VCC,
4075
        devclrn => ww_devclrn,
4076
        devpor => ww_devpor,
4077
        q => \dc|d1000Curr\(2));
4078
 
4079
-- Location: FF_X18_Y15_N13
4080
\tec|reg_digit0[2]\ : dffeas
4081
-- pragma translate_off
4082
GENERIC MAP (
4083
        is_wysiwyg => "true",
4084
        power_up => "low")
4085
-- pragma translate_on
4086
PORT MAP (
4087
        clk => \clk25~inputclkctrl_outclk\,
4088
        asdata => \dc|d1000Curr\(2),
4089
        sload => VCC,
4090
        ena => \tec|reg_digit0[3]~0_combout\,
4091
        devclrn => ww_devclrn,
4092
        devpor => ww_devpor,
4093
        q => \tec|reg_digit0\(2));
4094
 
4095
-- Location: FF_X18_Y15_N9
4096
\tec|reg_digit0[0]\ : dffeas
4097
-- pragma translate_off
4098
GENERIC MAP (
4099
        is_wysiwyg => "true",
4100
        power_up => "low")
4101
-- pragma translate_on
4102
PORT MAP (
4103
        clk => \clk25~inputclkctrl_outclk\,
4104
        asdata => \dc|d1000Curr\(0),
4105
        sload => VCC,
4106
        ena => \tec|reg_digit0[3]~0_combout\,
4107
        devclrn => ww_devclrn,
4108
        devpor => ww_devpor,
4109
        q => \tec|reg_digit0\(0));
4110
 
4111
-- Location: LCCOMB_X18_Y15_N30
4112
\tec|reg_digit0[3]~feeder\ : cycloneive_lcell_comb
4113
-- Equation(s):
4114
-- \tec|reg_digit0[3]~feeder_combout\ = \dc|d1000Curr\(3)
4115
 
4116
-- pragma translate_off
4117
GENERIC MAP (
4118
        lut_mask => "1111111100000000",
4119
        sum_lutc_input => "datac")
4120
-- pragma translate_on
4121
PORT MAP (
4122
        datad => \dc|d1000Curr\(3),
4123
        combout => \tec|reg_digit0[3]~feeder_combout\);
4124
 
4125
-- Location: FF_X18_Y15_N31
4126
\tec|reg_digit0[3]\ : dffeas
4127
-- pragma translate_off
4128
GENERIC MAP (
4129
        is_wysiwyg => "true",
4130
        power_up => "low")
4131
-- pragma translate_on
4132
PORT MAP (
4133
        clk => \clk25~inputclkctrl_outclk\,
4134
        d => \tec|reg_digit0[3]~feeder_combout\,
4135
        ena => \tec|reg_digit0[3]~0_combout\,
4136
        devclrn => ww_devclrn,
4137
        devpor => ww_devpor,
4138
        q => \tec|reg_digit0\(3));
4139
 
4140
-- Location: FF_X18_Y15_N11
4141
\tec|reg_digit0[1]\ : dffeas
4142
-- pragma translate_off
4143
GENERIC MAP (
4144
        is_wysiwyg => "true",
4145
        power_up => "low")
4146
-- pragma translate_on
4147
PORT MAP (
4148
        clk => \clk25~inputclkctrl_outclk\,
4149
        asdata => \dc|d1000Curr\(1),
4150
        sload => VCC,
4151
        ena => \tec|reg_digit0[3]~0_combout\,
4152
        devclrn => ww_devclrn,
4153
        devpor => ww_devpor,
4154
        q => \tec|reg_digit0\(1));
4155
 
4156
-- Location: LCCOMB_X18_Y15_N24
4157
\tec|Mux2~0\ : cycloneive_lcell_comb
4158
-- Equation(s):
4159
-- \tec|Mux2~0_combout\ = (\tec|reg_digit0\(2) & (\tec|reg_digit0\(3) & ((\tec|reg_digit0\(1)) # (!\tec|reg_digit0\(0))))) # (!\tec|reg_digit0\(2) & (!\tec|reg_digit0\(0) & (!\tec|reg_digit0\(3) & \tec|reg_digit0\(1))))
4160
 
4161
-- pragma translate_off
4162
GENERIC MAP (
4163
        lut_mask => "1010000100100000",
4164
        sum_lutc_input => "datac")
4165
-- pragma translate_on
4166
PORT MAP (
4167
        dataa => \tec|reg_digit0\(2),
4168
        datab => \tec|reg_digit0\(0),
4169
        datac => \tec|reg_digit0\(3),
4170
        datad => \tec|reg_digit0\(1),
4171
        combout => \tec|Mux2~0_combout\);
4172
 
4173
-- Location: LCCOMB_X16_Y15_N18
4174
\tec|Equal47~0\ : cycloneive_lcell_comb
4175
-- Equation(s):
4176
-- \tec|Equal47~0_combout\ = (\tec|sm_counter\(5) & (\tec|sm_counter\(0) & \tec|sm_counter\(1)))
4177
 
4178
-- pragma translate_off
4179
GENERIC MAP (
4180
        lut_mask => "1000100000000000",
4181
        sum_lutc_input => "datac")
4182
-- pragma translate_on
4183
PORT MAP (
4184
        dataa => \tec|sm_counter\(5),
4185
        datab => \tec|sm_counter\(0),
4186
        datad => \tec|sm_counter\(1),
4187
        combout => \tec|Equal47~0_combout\);
4188
 
4189
-- Location: LCCOMB_X19_Y17_N6
4190
\tec|Equal7~1\ : cycloneive_lcell_comb
4191
-- Equation(s):
4192
-- \tec|Equal7~1_combout\ = (!\tec|sm_counter\(4) & (\tec|sm_counter\(2) & (\tec|Equal64~0_combout\ & !\tec|sm_counter\(6))))
4193
 
4194
-- pragma translate_off
4195
GENERIC MAP (
4196
        lut_mask => "0000000001000000",
4197
        sum_lutc_input => "datac")
4198
-- pragma translate_on
4199
PORT MAP (
4200
        dataa => \tec|sm_counter\(4),
4201
        datab => \tec|sm_counter\(2),
4202
        datac => \tec|Equal64~0_combout\,
4203
        datad => \tec|sm_counter\(6),
4204
        combout => \tec|Equal7~1_combout\);
4205
 
4206
-- Location: LCCOMB_X16_Y15_N4
4207
\tec|Selector1~10\ : cycloneive_lcell_comb
4208
-- Equation(s):
4209
-- \tec|Selector1~10_combout\ = (!\tec|Mux2~0_combout\ & (\tec|Equal47~0_combout\ & (\tec|sm_counter\(3) & \tec|Equal7~1_combout\)))
4210
 
4211
-- pragma translate_off
4212
GENERIC MAP (
4213
        lut_mask => "0100000000000000",
4214
        sum_lutc_input => "datac")
4215
-- pragma translate_on
4216
PORT MAP (
4217
        dataa => \tec|Mux2~0_combout\,
4218
        datab => \tec|Equal47~0_combout\,
4219
        datac => \tec|sm_counter\(3),
4220
        datad => \tec|Equal7~1_combout\,
4221
        combout => \tec|Selector1~10_combout\);
4222
 
4223
-- Location: LCCOMB_X18_Y15_N2
4224
\tec|Mux1~0\ : cycloneive_lcell_comb
4225
-- Equation(s):
4226
-- \tec|Mux1~0_combout\ = (\tec|reg_digit0\(3) & ((\tec|reg_digit0\(0) & ((\tec|reg_digit0\(1)))) # (!\tec|reg_digit0\(0) & (\tec|reg_digit0\(2))))) # (!\tec|reg_digit0\(3) & (\tec|reg_digit0\(2) & (\tec|reg_digit0\(0) $ (\tec|reg_digit0\(1)))))
4227
 
4228
-- pragma translate_off
4229
GENERIC MAP (
4230
        lut_mask => "1110001000101000",
4231
        sum_lutc_input => "datac")
4232
-- pragma translate_on
4233
PORT MAP (
4234
        dataa => \tec|reg_digit0\(2),
4235
        datab => \tec|reg_digit0\(0),
4236
        datac => \tec|reg_digit0\(3),
4237
        datad => \tec|reg_digit0\(1),
4238
        combout => \tec|Mux1~0_combout\);
4239
 
4240
-- Location: LCCOMB_X16_Y15_N24
4241
\tec|Equal37~1\ : cycloneive_lcell_comb
4242
-- Equation(s):
4243
-- \tec|Equal37~1_combout\ = (\tec|sm_counter\(5) & (\tec|sm_counter\(0) & !\tec|sm_counter\(1)))
4244
 
4245
-- pragma translate_off
4246
GENERIC MAP (
4247
        lut_mask => "0000000010001000",
4248
        sum_lutc_input => "datac")
4249
-- pragma translate_on
4250
PORT MAP (
4251
        dataa => \tec|sm_counter\(5),
4252
        datab => \tec|sm_counter\(0),
4253
        datad => \tec|sm_counter\(1),
4254
        combout => \tec|Equal37~1_combout\);
4255
 
4256
-- Location: LCCOMB_X16_Y15_N14
4257
\tec|Selector1~11\ : cycloneive_lcell_comb
4258
-- Equation(s):
4259
-- \tec|Selector1~11_combout\ = (!\tec|Mux1~0_combout\ & (\tec|Equal37~1_combout\ & (\tec|sm_counter\(3) & \tec|Equal7~1_combout\)))
4260
 
4261
-- pragma translate_off
4262
GENERIC MAP (
4263
        lut_mask => "0100000000000000",
4264
        sum_lutc_input => "datac")
4265
-- pragma translate_on
4266
PORT MAP (
4267
        dataa => \tec|Mux1~0_combout\,
4268
        datab => \tec|Equal37~1_combout\,
4269
        datac => \tec|sm_counter\(3),
4270
        datad => \tec|Equal7~1_combout\,
4271
        combout => \tec|Selector1~11_combout\);
4272
 
4273
-- Location: LCCOMB_X16_Y14_N6
4274
\tec|Selector1~12\ : cycloneive_lcell_comb
4275
-- Equation(s):
4276
-- \tec|Selector1~12_combout\ = (\tec|Selector1~9_combout\) # ((\tec|Selector1~8_combout\) # ((\tec|Selector1~10_combout\) # (\tec|Selector1~11_combout\)))
4277
 
4278
-- pragma translate_off
4279
GENERIC MAP (
4280
        lut_mask => "1111111111111110",
4281
        sum_lutc_input => "datac")
4282
-- pragma translate_on
4283
PORT MAP (
4284
        dataa => \tec|Selector1~9_combout\,
4285
        datab => \tec|Selector1~8_combout\,
4286
        datac => \tec|Selector1~10_combout\,
4287
        datad => \tec|Selector1~11_combout\,
4288
        combout => \tec|Selector1~12_combout\);
4289
 
4290
-- Location: LCCOMB_X16_Y15_N12
4291
\tec|Equal77~0\ : cycloneive_lcell_comb
4292
-- Equation(s):
4293
-- \tec|Equal77~0_combout\ = (!\tec|sm_counter\(5) & (\tec|sm_counter\(0) & !\tec|sm_counter\(1)))
4294
 
4295
-- pragma translate_off
4296
GENERIC MAP (
4297
        lut_mask => "0000000001000100",
4298
        sum_lutc_input => "datac")
4299
-- pragma translate_on
4300
PORT MAP (
4301
        dataa => \tec|sm_counter\(5),
4302
        datab => \tec|sm_counter\(0),
4303
        datad => \tec|sm_counter\(1),
4304
        combout => \tec|Equal77~0_combout\);
4305
 
4306
-- Location: LCCOMB_X17_Y16_N26
4307
\tec|Mux20~0\ : cycloneive_lcell_comb
4308
-- Equation(s):
4309
-- \tec|Mux20~0_combout\ = (\tec|reg_digit2\(0) & ((\tec|reg_digit2\(3)) # (\tec|reg_digit2\(2) $ (\tec|reg_digit2\(1))))) # (!\tec|reg_digit2\(0) & ((\tec|reg_digit2\(1)) # (\tec|reg_digit2\(2) $ (\tec|reg_digit2\(3)))))
4310
 
4311
-- pragma translate_off
4312
GENERIC MAP (
4313
        lut_mask => "1111011111011010",
4314
        sum_lutc_input => "datac")
4315
-- pragma translate_on
4316
PORT MAP (
4317
        dataa => \tec|reg_digit2\(2),
4318
        datab => \tec|reg_digit2\(0),
4319
        datac => \tec|reg_digit2\(3),
4320
        datad => \tec|reg_digit2\(1),
4321
        combout => \tec|Mux20~0_combout\);
4322
 
4323
-- Location: LCCOMB_X17_Y16_N2
4324
\tec|Equal27~0\ : cycloneive_lcell_comb
4325
-- Equation(s):
4326
-- \tec|Equal27~0_combout\ = (\tec|sm_counter\(4) & \tec|sm_counter\(3))
4327
 
4328
-- pragma translate_off
4329
GENERIC MAP (
4330
        lut_mask => "1100000011000000",
4331
        sum_lutc_input => "datac")
4332
-- pragma translate_on
4333
PORT MAP (
4334
        datab => \tec|sm_counter\(4),
4335
        datac => \tec|sm_counter\(3),
4336
        combout => \tec|Equal27~0_combout\);
4337
 
4338
-- Location: LCCOMB_X17_Y19_N6
4339
\tec|Equal89~0\ : cycloneive_lcell_comb
4340
-- Equation(s):
4341
-- \tec|Equal89~0_combout\ = (\tec|sm_counter\(6) & (!\tec|sm_counter\(2) & (\tec|Equal64~0_combout\ & \tec|Equal27~0_combout\)))
4342
 
4343
-- pragma translate_off
4344
GENERIC MAP (
4345
        lut_mask => "0010000000000000",
4346
        sum_lutc_input => "datac")
4347
-- pragma translate_on
4348
PORT MAP (
4349
        dataa => \tec|sm_counter\(6),
4350
        datab => \tec|sm_counter\(2),
4351
        datac => \tec|Equal64~0_combout\,
4352
        datad => \tec|Equal27~0_combout\,
4353
        combout => \tec|Equal89~0_combout\);
4354
 
4355
-- Location: LCCOMB_X16_Y15_N8
4356
\tec|Selector1~25\ : cycloneive_lcell_comb
4357
-- Equation(s):
4358
-- \tec|Selector1~25_combout\ = (\tec|Mux20~0_combout\ & (\tec|Equal7~0_combout\ & (!\tec|sm_counter\(5) & \tec|Equal89~0_combout\)))
4359
 
4360
-- pragma translate_off
4361
GENERIC MAP (
4362
        lut_mask => "0000100000000000",
4363
        sum_lutc_input => "datac")
4364
-- pragma translate_on
4365
PORT MAP (
4366
        dataa => \tec|Mux20~0_combout\,
4367
        datab => \tec|Equal7~0_combout\,
4368
        datac => \tec|sm_counter\(5),
4369
        datad => \tec|Equal89~0_combout\,
4370
        combout => \tec|Selector1~25_combout\);
4371
 
4372
-- Location: LCCOMB_X17_Y16_N24
4373
\tec|Mux19~0\ : cycloneive_lcell_comb
4374
-- Equation(s):
4375
-- \tec|Mux19~0_combout\ = (\tec|reg_digit2\(2) & (\tec|reg_digit2\(0) & (\tec|reg_digit2\(3) $ (\tec|reg_digit2\(1))))) # (!\tec|reg_digit2\(2) & (!\tec|reg_digit2\(3) & ((\tec|reg_digit2\(1)) # (\tec|reg_digit2\(0)))))
4376
 
4377
-- pragma translate_off
4378
GENERIC MAP (
4379
        lut_mask => "0011100100010000",
4380
        sum_lutc_input => "datac")
4381
-- pragma translate_on
4382
PORT MAP (
4383
        dataa => \tec|reg_digit2\(2),
4384
        datab => \tec|reg_digit2\(3),
4385
        datac => \tec|reg_digit2\(1),
4386
        datad => \tec|reg_digit2\(0),
4387
        combout => \tec|Mux19~0_combout\);
4388
 
4389
-- Location: LCCOMB_X16_Y15_N10
4390
\tec|Selector1~26\ : cycloneive_lcell_comb
4391
-- Equation(s):
4392
-- \tec|Selector1~26_combout\ = (\tec|Selector1~25_combout\) # ((\tec|Equal77~0_combout\ & (!\tec|Mux19~0_combout\ & \tec|Equal89~0_combout\)))
4393
 
4394
-- pragma translate_off
4395
GENERIC MAP (
4396
        lut_mask => "1100111011001100",
4397
        sum_lutc_input => "datac")
4398
-- pragma translate_on
4399
PORT MAP (
4400
        dataa => \tec|Equal77~0_combout\,
4401
        datab => \tec|Selector1~25_combout\,
4402
        datac => \tec|Mux19~0_combout\,
4403
        datad => \tec|Equal89~0_combout\,
4404
        combout => \tec|Selector1~26_combout\);
4405
 
4406
-- Location: LCCOMB_X17_Y16_N12
4407
\tec|Mux17~0\ : cycloneive_lcell_comb
4408
-- Equation(s):
4409
-- \tec|Mux17~0_combout\ = (\tec|reg_digit2\(1) & ((\tec|reg_digit2\(2) & ((\tec|reg_digit2\(0)))) # (!\tec|reg_digit2\(2) & (\tec|reg_digit2\(3) & !\tec|reg_digit2\(0))))) # (!\tec|reg_digit2\(1) & (!\tec|reg_digit2\(3) & (\tec|reg_digit2\(2) $
4410
-- (\tec|reg_digit2\(0)))))
4411
 
4412
-- pragma translate_off
4413
GENERIC MAP (
4414
        lut_mask => "1010000101000010",
4415
        sum_lutc_input => "datac")
4416
-- pragma translate_on
4417
PORT MAP (
4418
        dataa => \tec|reg_digit2\(2),
4419
        datab => \tec|reg_digit2\(3),
4420
        datac => \tec|reg_digit2\(1),
4421
        datad => \tec|reg_digit2\(0),
4422
        combout => \tec|Mux17~0_combout\);
4423
 
4424
-- Location: LCCOMB_X16_Y17_N28
4425
\tec|Equal69~0\ : cycloneive_lcell_comb
4426
-- Equation(s):
4427
-- \tec|Equal69~0_combout\ = (!\tec|sm_counter\(1) & (\tec|sm_counter\(0) & \tec|sm_counter\(2)))
4428
 
4429
-- pragma translate_off
4430
GENERIC MAP (
4431
        lut_mask => "0011000000000000",
4432
        sum_lutc_input => "datac")
4433
-- pragma translate_on
4434
PORT MAP (
4435
        datab => \tec|sm_counter\(1),
4436
        datac => \tec|sm_counter\(0),
4437
        datad => \tec|sm_counter\(2),
4438
        combout => \tec|Equal69~0_combout\);
4439
 
4440
-- Location: LCCOMB_X17_Y16_N0
4441
\tec|Mux18~0\ : cycloneive_lcell_comb
4442
-- Equation(s):
4443
-- \tec|Mux18~0_combout\ = (\tec|reg_digit2\(1) & (\tec|reg_digit2\(0) & ((!\tec|reg_digit2\(3))))) # (!\tec|reg_digit2\(1) & ((\tec|reg_digit2\(2) & ((!\tec|reg_digit2\(3)))) # (!\tec|reg_digit2\(2) & (\tec|reg_digit2\(0)))))
4444
 
4445
-- pragma translate_off
4446
GENERIC MAP (
4447
        lut_mask => "0000010011011100",
4448
        sum_lutc_input => "datac")
4449
-- pragma translate_on
4450
PORT MAP (
4451
        dataa => \tec|reg_digit2\(1),
4452
        datab => \tec|reg_digit2\(0),
4453
        datac => \tec|reg_digit2\(2),
4454
        datad => \tec|reg_digit2\(3),
4455
        combout => \tec|Mux18~0_combout\);
4456
 
4457
-- Location: LCCOMB_X17_Y16_N10
4458
\tec|Selector1~27\ : cycloneive_lcell_comb
4459
-- Equation(s):
4460
-- \tec|Selector1~27_combout\ = (!\tec|Mux18~0_combout\ & (\tec|Equal80~0_combout\ & \tec|Equal71~0_combout\))
4461
 
4462
-- pragma translate_off
4463
GENERIC MAP (
4464
        lut_mask => "0011000000000000",
4465
        sum_lutc_input => "datac")
4466
-- pragma translate_on
4467
PORT MAP (
4468
        datab => \tec|Mux18~0_combout\,
4469
        datac => \tec|Equal80~0_combout\,
4470
        datad => \tec|Equal71~0_combout\,
4471
        combout => \tec|Selector1~27_combout\);
4472
 
4473
-- Location: LCCOMB_X17_Y16_N14
4474
\tec|Selector1~28\ : cycloneive_lcell_comb
4475
-- Equation(s):
4476
-- \tec|Selector1~28_combout\ = (\tec|Selector1~27_combout\) # ((!\tec|Mux17~0_combout\ & (\tec|Equal80~0_combout\ & \tec|Equal69~0_combout\)))
4477
 
4478
-- pragma translate_off
4479
GENERIC MAP (
4480
        lut_mask => "1111111101000000",
4481
        sum_lutc_input => "datac")
4482
-- pragma translate_on
4483
PORT MAP (
4484
        dataa => \tec|Mux17~0_combout\,
4485
        datab => \tec|Equal80~0_combout\,
4486
        datac => \tec|Equal69~0_combout\,
4487
        datad => \tec|Selector1~27_combout\,
4488
        combout => \tec|Selector1~28_combout\);
4489
 
4490
-- Location: LCCOMB_X16_Y14_N0
4491
\tec|Mux11~0\ : cycloneive_lcell_comb
4492
-- Equation(s):
4493
-- \tec|Mux11~0_combout\ = (\tec|reg_digit1\(1) & (\tec|reg_digit1\(0) & ((!\tec|reg_digit1\(3))))) # (!\tec|reg_digit1\(1) & ((\tec|reg_digit1\(2) & ((!\tec|reg_digit1\(3)))) # (!\tec|reg_digit1\(2) & (\tec|reg_digit1\(0)))))
4494
 
4495
-- pragma translate_off
4496
GENERIC MAP (
4497
        lut_mask => "0000001010101110",
4498
        sum_lutc_input => "datac")
4499
-- pragma translate_on
4500
PORT MAP (
4501
        dataa => \tec|reg_digit1\(0),
4502
        datab => \tec|reg_digit1\(2),
4503
        datac => \tec|reg_digit1\(1),
4504
        datad => \tec|reg_digit1\(3),
4505
        combout => \tec|Mux11~0_combout\);
4506
 
4507
-- Location: LCCOMB_X18_Y15_N4
4508
\tec|Mux4~0\ : cycloneive_lcell_comb
4509
-- Equation(s):
4510
-- \tec|Mux4~0_combout\ = (\tec|reg_digit0\(1) & (((\tec|reg_digit0\(0) & !\tec|reg_digit0\(3))))) # (!\tec|reg_digit0\(1) & ((\tec|reg_digit0\(2) & ((!\tec|reg_digit0\(3)))) # (!\tec|reg_digit0\(2) & (\tec|reg_digit0\(0)))))
4511
 
4512
-- pragma translate_off
4513
GENERIC MAP (
4514
        lut_mask => "0000110001001110",
4515
        sum_lutc_input => "datac")
4516
-- pragma translate_on
4517
PORT MAP (
4518
        dataa => \tec|reg_digit0\(2),
4519
        datab => \tec|reg_digit0\(0),
4520
        datac => \tec|reg_digit0\(3),
4521
        datad => \tec|reg_digit0\(1),
4522
        combout => \tec|Mux4~0_combout\);
4523
 
4524
-- Location: LCCOMB_X21_Y9_N30
4525
\tec|Equal18~0\ : cycloneive_lcell_comb
4526
-- Equation(s):
4527
-- \tec|Equal18~0_combout\ = (!\tec|sm_counter\(7) & (\tec|Equal24~2_combout\ & (\tec|sm_counter\(1) & !\tec|sm_counter\(6))))
4528
 
4529
-- pragma translate_off
4530
GENERIC MAP (
4531
        lut_mask => "0000000001000000",
4532
        sum_lutc_input => "datac")
4533
-- pragma translate_on
4534
PORT MAP (
4535
        dataa => \tec|sm_counter\(7),
4536
        datab => \tec|Equal24~2_combout\,
4537
        datac => \tec|sm_counter\(1),
4538
        datad => \tec|sm_counter\(6),
4539
        combout => \tec|Equal18~0_combout\);
4540
 
4541
-- Location: LCCOMB_X21_Y9_N24
4542
\tec|Equal18~1\ : cycloneive_lcell_comb
4543
-- Equation(s):
4544
-- \tec|Equal18~1_combout\ = (\tec|Equal19~0_combout\ & (\tec|Equal142~0_combout\ & (\tec|Equal18~0_combout\ & \tec|Equal142~5_combout\)))
4545
 
4546
-- pragma translate_off
4547
GENERIC MAP (
4548
        lut_mask => "1000000000000000",
4549
        sum_lutc_input => "datac")
4550
-- pragma translate_on
4551
PORT MAP (
4552
        dataa => \tec|Equal19~0_combout\,
4553
        datab => \tec|Equal142~0_combout\,
4554
        datac => \tec|Equal18~0_combout\,
4555
        datad => \tec|Equal142~5_combout\,
4556
        combout => \tec|Equal18~1_combout\);
4557
 
4558
-- Location: LCCOMB_X16_Y14_N22
4559
\tec|Selector1~31\ : cycloneive_lcell_comb
4560
-- Equation(s):
4561
-- \tec|Selector1~31_combout\ = (\tec|Equal37~0_combout\ & (!\tec|sm_counter\(2) & (!\tec|Mux4~0_combout\ & \tec|Equal18~1_combout\)))
4562
 
4563
-- pragma translate_off
4564
GENERIC MAP (
4565
        lut_mask => "0000001000000000",
4566
        sum_lutc_input => "datac")
4567
-- pragma translate_on
4568
PORT MAP (
4569
        dataa => \tec|Equal37~0_combout\,
4570
        datab => \tec|sm_counter\(2),
4571
        datac => \tec|Mux4~0_combout\,
4572
        datad => \tec|Equal18~1_combout\,
4573
        combout => \tec|Selector1~31_combout\);
4574
 
4575
-- Location: LCCOMB_X16_Y14_N10
4576
\tec|Selector1~32\ : cycloneive_lcell_comb
4577
-- Equation(s):
4578
-- \tec|Selector1~32_combout\ = (\tec|Selector1~31_combout\) # ((!\tec|Mux11~0_combout\ & (\tec|Equal69~0_combout\ & \tec|Equal64~3_combout\)))
4579
 
4580
-- pragma translate_off
4581
GENERIC MAP (
4582
        lut_mask => "1111010011110000",
4583
        sum_lutc_input => "datac")
4584
-- pragma translate_on
4585
PORT MAP (
4586
        dataa => \tec|Mux11~0_combout\,
4587
        datab => \tec|Equal69~0_combout\,
4588
        datac => \tec|Selector1~31_combout\,
4589
        datad => \tec|Equal64~3_combout\,
4590
        combout => \tec|Selector1~32_combout\);
4591
 
4592
-- Location: LCCOMB_X16_Y14_N2
4593
\tec|Mux12~0\ : cycloneive_lcell_comb
4594
-- Equation(s):
4595
-- \tec|Mux12~0_combout\ = (\tec|reg_digit1\(0) & (\tec|reg_digit1\(3) $ (((\tec|reg_digit1\(1)) # (!\tec|reg_digit1\(2)))))) # (!\tec|reg_digit1\(0) & (!\tec|reg_digit1\(2) & (\tec|reg_digit1\(1) & !\tec|reg_digit1\(3))))
4596
 
4597
-- pragma translate_off
4598
GENERIC MAP (
4599
        lut_mask => "0000100010110010",
4600
        sum_lutc_input => "datac")
4601
-- pragma translate_on
4602
PORT MAP (
4603
        dataa => \tec|reg_digit1\(0),
4604
        datab => \tec|reg_digit1\(2),
4605
        datac => \tec|reg_digit1\(1),
4606
        datad => \tec|reg_digit1\(3),
4607
        combout => \tec|Mux12~0_combout\);
4608
 
4609
-- Location: LCCOMB_X16_Y14_N20
4610
\tec|Selector1~30\ : cycloneive_lcell_comb
4611
-- Equation(s):
4612
-- \tec|Selector1~30_combout\ = (\tec|Equal7~0_combout\ & (!\tec|Mux12~0_combout\ & (\tec|sm_counter\(2) & \tec|Equal64~3_combout\)))
4613
 
4614
-- pragma translate_off
4615
GENERIC MAP (
4616
        lut_mask => "0010000000000000",
4617
        sum_lutc_input => "datac")
4618
-- pragma translate_on
4619
PORT MAP (
4620
        dataa => \tec|Equal7~0_combout\,
4621
        datab => \tec|Mux12~0_combout\,
4622
        datac => \tec|sm_counter\(2),
4623
        datad => \tec|Equal64~3_combout\,
4624
        combout => \tec|Selector1~30_combout\);
4625
 
4626
-- Location: LCCOMB_X16_Y15_N26
4627
\tec|Equal7~2\ : cycloneive_lcell_comb
4628
-- Equation(s):
4629
-- \tec|Equal7~2_combout\ = (!\tec|sm_counter\(5) & (\tec|sm_counter\(0) & \tec|sm_counter\(1)))
4630
 
4631
-- pragma translate_off
4632
GENERIC MAP (
4633
        lut_mask => "0100010000000000",
4634
        sum_lutc_input => "datac")
4635
-- pragma translate_on
4636
PORT MAP (
4637
        dataa => \tec|sm_counter\(5),
4638
        datab => \tec|sm_counter\(0),
4639
        datad => \tec|sm_counter\(1),
4640
        combout => \tec|Equal7~2_combout\);
4641
 
4642
-- Location: LCCOMB_X17_Y13_N8
4643
\tec|Equal76~0\ : cycloneive_lcell_comb
4644
-- Equation(s):
4645
-- \tec|Equal76~0_combout\ = (\tec|sm_counter\(3) & \tec|sm_counter\(6))
4646
 
4647
-- pragma translate_off
4648
GENERIC MAP (
4649
        lut_mask => "1111000000000000",
4650
        sum_lutc_input => "datac")
4651
-- pragma translate_on
4652
PORT MAP (
4653
        datac => \tec|sm_counter\(3),
4654
        datad => \tec|sm_counter\(6),
4655
        combout => \tec|Equal76~0_combout\);
4656
 
4657
-- Location: LCCOMB_X17_Y13_N10
4658
\tec|Equal76~1\ : cycloneive_lcell_comb
4659
-- Equation(s):
4660
-- \tec|Equal76~1_combout\ = (\tec|sm_counter\(2) & (!\tec|sm_counter\(4) & (\tec|Equal76~0_combout\ & \tec|Equal64~0_combout\)))
4661
 
4662
-- pragma translate_off
4663
GENERIC MAP (
4664
        lut_mask => "0010000000000000",
4665
        sum_lutc_input => "datac")
4666
-- pragma translate_on
4667
PORT MAP (
4668
        dataa => \tec|sm_counter\(2),
4669
        datab => \tec|sm_counter\(4),
4670
        datac => \tec|Equal76~0_combout\,
4671
        datad => \tec|Equal64~0_combout\,
4672
        combout => \tec|Equal76~1_combout\);
4673
 
4674
-- Location: LCCOMB_X17_Y16_N8
4675
\tec|Mux14~0\ : cycloneive_lcell_comb
4676
-- Equation(s):
4677
-- \tec|Mux14~0_combout\ = (\tec|reg_digit2\(2) & (!\tec|reg_digit2\(1) & (\tec|reg_digit2\(3) $ (!\tec|reg_digit2\(0))))) # (!\tec|reg_digit2\(2) & (\tec|reg_digit2\(0) & (\tec|reg_digit2\(3) $ (!\tec|reg_digit2\(1)))))
4678
 
4679
-- pragma translate_off
4680
GENERIC MAP (
4681
        lut_mask => "0100100100000010",
4682
        sum_lutc_input => "datac")
4683
-- pragma translate_on
4684
PORT MAP (
4685
        dataa => \tec|reg_digit2\(2),
4686
        datab => \tec|reg_digit2\(3),
4687
        datac => \tec|reg_digit2\(1),
4688
        datad => \tec|reg_digit2\(0),
4689
        combout => \tec|Mux14~0_combout\);
4690
 
4691
-- Location: LCCOMB_X16_Y15_N0
4692
\tec|Selector1~29\ : cycloneive_lcell_comb
4693
-- Equation(s):
4694
-- \tec|Selector1~29_combout\ = (\tec|Equal7~2_combout\ & (\tec|Equal76~1_combout\ & !\tec|Mux14~0_combout\))
4695
 
4696
-- pragma translate_off
4697
GENERIC MAP (
4698
        lut_mask => "0000000010001000",
4699
        sum_lutc_input => "datac")
4700
-- pragma translate_on
4701
PORT MAP (
4702
        dataa => \tec|Equal7~2_combout\,
4703
        datab => \tec|Equal76~1_combout\,
4704
        datad => \tec|Mux14~0_combout\,
4705
        combout => \tec|Selector1~29_combout\);
4706
 
4707
-- Location: LCCOMB_X16_Y15_N28
4708
\tec|Selector1~33\ : cycloneive_lcell_comb
4709
-- Equation(s):
4710
-- \tec|Selector1~33_combout\ = (\tec|Selector1~28_combout\) # ((\tec|Selector1~32_combout\) # ((\tec|Selector1~30_combout\) # (\tec|Selector1~29_combout\)))
4711
 
4712
-- pragma translate_off
4713
GENERIC MAP (
4714
        lut_mask => "1111111111111110",
4715
        sum_lutc_input => "datac")
4716
-- pragma translate_on
4717
PORT MAP (
4718
        dataa => \tec|Selector1~28_combout\,
4719
        datab => \tec|Selector1~32_combout\,
4720
        datac => \tec|Selector1~30_combout\,
4721
        datad => \tec|Selector1~29_combout\,
4722
        combout => \tec|Selector1~33_combout\);
4723
 
4724
-- Location: LCCOMB_X17_Y11_N0
4725
\tec|Equal96~0\ : cycloneive_lcell_comb
4726
-- Equation(s):
4727
-- \tec|Equal96~0_combout\ = (\tec|Equal64~0_combout\ & (!\tec|sm_counter\(4) & (\tec|sm_counter\(5) & \tec|Equal64~1_combout\)))
4728
 
4729
-- pragma translate_off
4730
GENERIC MAP (
4731
        lut_mask => "0010000000000000",
4732
        sum_lutc_input => "datac")
4733
-- pragma translate_on
4734
PORT MAP (
4735
        dataa => \tec|Equal64~0_combout\,
4736
        datab => \tec|sm_counter\(4),
4737
        datac => \tec|sm_counter\(5),
4738
        datad => \tec|Equal64~1_combout\,
4739
        combout => \tec|Equal96~0_combout\);
4740
 
4741
-- Location: LCCOMB_X17_Y14_N10
4742
\tec|Equal97~2\ : cycloneive_lcell_comb
4743
-- Equation(s):
4744
-- \tec|Equal97~2_combout\ = (\tec|sm_counter\(0) & (\tec|Equal96~0_combout\ & (!\tec|sm_counter\(1) & !\tec|sm_counter\(2))))
4745
 
4746
-- pragma translate_off
4747
GENERIC MAP (
4748
        lut_mask => "0000000000001000",
4749
        sum_lutc_input => "datac")
4750
-- pragma translate_on
4751
PORT MAP (
4752
        dataa => \tec|sm_counter\(0),
4753
        datab => \tec|Equal96~0_combout\,
4754
        datac => \tec|sm_counter\(1),
4755
        datad => \tec|sm_counter\(2),
4756
        combout => \tec|Equal97~2_combout\);
4757
 
4758
-- Location: LCCOMB_X18_Y15_N26
4759
\tec|Mux0~0\ : cycloneive_lcell_comb
4760
-- Equation(s):
4761
-- \tec|Mux0~0_combout\ = (\tec|reg_digit0\(2) & (!\tec|reg_digit0\(1) & (\tec|reg_digit0\(0) $ (!\tec|reg_digit0\(3))))) # (!\tec|reg_digit0\(2) & (\tec|reg_digit0\(0) & (\tec|reg_digit0\(3) $ (!\tec|reg_digit0\(1)))))
4762
 
4763
-- pragma translate_off
4764
GENERIC MAP (
4765
        lut_mask => "0100000010000110",
4766
        sum_lutc_input => "datac")
4767
-- pragma translate_on
4768
PORT MAP (
4769
        dataa => \tec|reg_digit0\(2),
4770
        datab => \tec|reg_digit0\(0),
4771
        datac => \tec|reg_digit0\(3),
4772
        datad => \tec|reg_digit0\(1),
4773
        combout => \tec|Mux0~0_combout\);
4774
 
4775
-- Location: LCCOMB_X17_Y14_N2
4776
\tec|Mux21~0\ : cycloneive_lcell_comb
4777
-- Equation(s):
4778
-- \tec|Mux21~0_combout\ = (\tec|reg_digit3\(3) & (\tec|reg_digit3\(0) & (\tec|reg_digit3\(1) $ (\tec|reg_digit3\(2))))) # (!\tec|reg_digit3\(3) & (!\tec|reg_digit3\(1) & (\tec|reg_digit3\(0) $ (\tec|reg_digit3\(2)))))
4779
 
4780
-- pragma translate_off
4781
GENERIC MAP (
4782
        lut_mask => "0100000110000100",
4783
        sum_lutc_input => "datac")
4784
-- pragma translate_on
4785
PORT MAP (
4786
        dataa => \tec|reg_digit3\(1),
4787
        datab => \tec|reg_digit3\(0),
4788
        datac => \tec|reg_digit3\(3),
4789
        datad => \tec|reg_digit3\(2),
4790
        combout => \tec|Mux21~0_combout\);
4791
 
4792
-- Location: LCCOMB_X21_Y9_N20
4793
\tec|Equal2~3\ : cycloneive_lcell_comb
4794
-- Equation(s):
4795
-- \tec|Equal2~3_combout\ = (!\tec|sm_counter\(7) & (\tec|sm_counter\(1) & !\tec|sm_counter\(6)))
4796
 
4797
-- pragma translate_off
4798
GENERIC MAP (
4799
        lut_mask => "0000000000110000",
4800
        sum_lutc_input => "datac")
4801
-- pragma translate_on
4802
PORT MAP (
4803
        datab => \tec|sm_counter\(7),
4804
        datac => \tec|sm_counter\(1),
4805
        datad => \tec|sm_counter\(6),
4806
        combout => \tec|Equal2~3_combout\);
4807
 
4808
-- Location: LCCOMB_X21_Y9_N22
4809
\tec|Equal2~4\ : cycloneive_lcell_comb
4810
-- Equation(s):
4811
-- \tec|Equal2~4_combout\ = (\tec|Equal142~5_combout\ & (\tec|Equal2~3_combout\ & (\tec|Equal24~2_combout\ & \tec|Equal142~0_combout\)))
4812
 
4813
-- pragma translate_off
4814
GENERIC MAP (
4815
        lut_mask => "1000000000000000",
4816
        sum_lutc_input => "datac")
4817
-- pragma translate_on
4818
PORT MAP (
4819
        dataa => \tec|Equal142~5_combout\,
4820
        datab => \tec|Equal2~3_combout\,
4821
        datac => \tec|Equal24~2_combout\,
4822
        datad => \tec|Equal142~0_combout\,
4823
        combout => \tec|Equal2~4_combout\);
4824
 
4825
-- Location: LCCOMB_X19_Y12_N28
4826
\tec|Equal10~0\ : cycloneive_lcell_comb
4827
-- Equation(s):
4828
-- \tec|Equal10~0_combout\ = (!\tec|sm_counter\(4) & \tec|sm_counter\(3))
4829
 
4830
-- pragma translate_off
4831
GENERIC MAP (
4832
        lut_mask => "0101000001010000",
4833
        sum_lutc_input => "datac")
4834
-- pragma translate_on
4835
PORT MAP (
4836
        dataa => \tec|sm_counter\(4),
4837
        datac => \tec|sm_counter\(3),
4838
        combout => \tec|Equal10~0_combout\);
4839
 
4840
-- Location: LCCOMB_X21_Y16_N4
4841
\tec|Equal43~0\ : cycloneive_lcell_comb
4842
-- Equation(s):
4843
-- \tec|Equal43~0_combout\ = (\tec|Equal2~4_combout\ & (!\tec|sm_counter\(2) & (\tec|Equal37~0_combout\ & \tec|Equal10~0_combout\)))
4844
 
4845
-- pragma translate_off
4846
GENERIC MAP (
4847
        lut_mask => "0010000000000000",
4848
        sum_lutc_input => "datac")
4849
-- pragma translate_on
4850
PORT MAP (
4851
        dataa => \tec|Equal2~4_combout\,
4852
        datab => \tec|sm_counter\(2),
4853
        datac => \tec|Equal37~0_combout\,
4854
        datad => \tec|Equal10~0_combout\,
4855
        combout => \tec|Equal43~0_combout\);
4856
 
4857
-- Location: LCCOMB_X17_Y14_N12
4858
\tec|Selector1~23\ : cycloneive_lcell_comb
4859
-- Equation(s):
4860
-- \tec|Selector1~23_combout\ = (\tec|Equal97~2_combout\ & (((!\tec|Mux0~0_combout\ & \tec|Equal43~0_combout\)) # (!\tec|Mux21~0_combout\))) # (!\tec|Equal97~2_combout\ & (!\tec|Mux0~0_combout\ & ((\tec|Equal43~0_combout\))))
4861
 
4862
-- pragma translate_off
4863
GENERIC MAP (
4864
        lut_mask => "0011101100001010",
4865
        sum_lutc_input => "datac")
4866
-- pragma translate_on
4867
PORT MAP (
4868
        dataa => \tec|Equal97~2_combout\,
4869
        datab => \tec|Mux0~0_combout\,
4870
        datac => \tec|Mux21~0_combout\,
4871
        datad => \tec|Equal43~0_combout\,
4872
        combout => \tec|Selector1~23_combout\);
4873
 
4874
-- Location: LCCOMB_X17_Y14_N4
4875
\tec|Equal99~2\ : cycloneive_lcell_comb
4876
-- Equation(s):
4877
-- \tec|Equal99~2_combout\ = (\tec|sm_counter\(0) & (\tec|Equal96~0_combout\ & (\tec|sm_counter\(1) & !\tec|sm_counter\(2))))
4878
 
4879
-- pragma translate_off
4880
GENERIC MAP (
4881
        lut_mask => "0000000010000000",
4882
        sum_lutc_input => "datac")
4883
-- pragma translate_on
4884
PORT MAP (
4885
        dataa => \tec|sm_counter\(0),
4886
        datab => \tec|Equal96~0_combout\,
4887
        datac => \tec|sm_counter\(1),
4888
        datad => \tec|sm_counter\(2),
4889
        combout => \tec|Equal99~2_combout\);
4890
 
4891
-- Location: LCCOMB_X17_Y14_N14
4892
\tec|Mux22~0\ : cycloneive_lcell_comb
4893
-- Equation(s):
4894
-- \tec|Mux22~0_combout\ = (\tec|reg_digit3\(1) & ((\tec|reg_digit3\(0) & (\tec|reg_digit3\(3))) # (!\tec|reg_digit3\(0) & ((\tec|reg_digit3\(2)))))) # (!\tec|reg_digit3\(1) & (\tec|reg_digit3\(2) & (\tec|reg_digit3\(0) $ (\tec|reg_digit3\(3)))))
4895
 
4896
-- pragma translate_off
4897
GENERIC MAP (
4898
        lut_mask => "1011011010000000",
4899
        sum_lutc_input => "datac")
4900
-- pragma translate_on
4901
PORT MAP (
4902
        dataa => \tec|reg_digit3\(1),
4903
        datab => \tec|reg_digit3\(0),
4904
        datac => \tec|reg_digit3\(3),
4905
        datad => \tec|reg_digit3\(2),
4906
        combout => \tec|Mux22~0_combout\);
4907
 
4908
-- Location: LCCOMB_X18_Y15_N0
4909
\tec|Mux3~0\ : cycloneive_lcell_comb
4910
-- Equation(s):
4911
-- \tec|Mux3~0_combout\ = (\tec|reg_digit0\(1) & ((\tec|reg_digit0\(2) & (\tec|reg_digit0\(0))) # (!\tec|reg_digit0\(2) & (!\tec|reg_digit0\(0) & \tec|reg_digit0\(3))))) # (!\tec|reg_digit0\(1) & (!\tec|reg_digit0\(3) & (\tec|reg_digit0\(2) $
4912
-- (\tec|reg_digit0\(0)))))
4913
 
4914
-- pragma translate_off
4915
GENERIC MAP (
4916
        lut_mask => "1001100000000110",
4917
        sum_lutc_input => "datac")
4918
-- pragma translate_on
4919
PORT MAP (
4920
        dataa => \tec|reg_digit0\(2),
4921
        datab => \tec|reg_digit0\(0),
4922
        datac => \tec|reg_digit0\(3),
4923
        datad => \tec|reg_digit0\(1),
4924
        combout => \tec|Mux3~0_combout\);
4925
 
4926
-- Location: LCCOMB_X21_Y16_N14
4927
\tec|Equal16~1\ : cycloneive_lcell_comb
4928
-- Equation(s):
4929
-- \tec|Equal16~1_combout\ = (!\tec|sm_counter\(6) & (\tec|Equal19~0_combout\ & (!\tec|sm_counter\(1) & \tec|Equal64~0_combout\)))
4930
 
4931
-- pragma translate_off
4932
GENERIC MAP (
4933
        lut_mask => "0000010000000000",
4934
        sum_lutc_input => "datac")
4935
-- pragma translate_on
4936
PORT MAP (
4937
        dataa => \tec|sm_counter\(6),
4938
        datab => \tec|Equal19~0_combout\,
4939
        datac => \tec|sm_counter\(1),
4940
        datad => \tec|Equal64~0_combout\,
4941
        combout => \tec|Equal16~1_combout\);
4942
 
4943
-- Location: LCCOMB_X18_Y16_N20
4944
\tec|Selector1~22\ : cycloneive_lcell_comb
4945
-- Equation(s):
4946
-- \tec|Selector1~22_combout\ = (!\tec|sm_counter\(2) & (!\tec|Mux3~0_combout\ & (\tec|Equal37~0_combout\ & \tec|Equal16~1_combout\)))
4947
 
4948
-- pragma translate_off
4949
GENERIC MAP (
4950
        lut_mask => "0001000000000000",
4951
        sum_lutc_input => "datac")
4952
-- pragma translate_on
4953
PORT MAP (
4954
        dataa => \tec|sm_counter\(2),
4955
        datab => \tec|Mux3~0_combout\,
4956
        datac => \tec|Equal37~0_combout\,
4957
        datad => \tec|Equal16~1_combout\,
4958
        combout => \tec|Selector1~22_combout\);
4959
 
4960
-- Location: LCCOMB_X17_Y14_N0
4961
\tec|Selector1~24\ : cycloneive_lcell_comb
4962
-- Equation(s):
4963
-- \tec|Selector1~24_combout\ = (\tec|Selector1~23_combout\) # ((\tec|Selector1~22_combout\) # ((\tec|Equal99~2_combout\ & !\tec|Mux22~0_combout\)))
4964
 
4965
-- pragma translate_off
4966
GENERIC MAP (
4967
        lut_mask => "1111111110101110",
4968
        sum_lutc_input => "datac")
4969
-- pragma translate_on
4970
PORT MAP (
4971
        dataa => \tec|Selector1~23_combout\,
4972
        datab => \tec|Equal99~2_combout\,
4973
        datac => \tec|Mux22~0_combout\,
4974
        datad => \tec|Selector1~22_combout\,
4975
        combout => \tec|Selector1~24_combout\);
4976
 
4977
-- Location: LCCOMB_X16_Y14_N18
4978
\tec|Mux7~0\ : cycloneive_lcell_comb
4979
-- Equation(s):
4980
-- \tec|Mux7~0_combout\ = (\tec|reg_digit1\(2) & (!\tec|reg_digit1\(1) & (\tec|reg_digit1\(3) $ (!\tec|reg_digit1\(0))))) # (!\tec|reg_digit1\(2) & (\tec|reg_digit1\(0) & (\tec|reg_digit1\(1) $ (!\tec|reg_digit1\(3)))))
4981
 
4982
-- pragma translate_off
4983
GENERIC MAP (
4984
        lut_mask => "0110000100000100",
4985
        sum_lutc_input => "datac")
4986
-- pragma translate_on
4987
PORT MAP (
4988
        dataa => \tec|reg_digit1\(1),
4989
        datab => \tec|reg_digit1\(2),
4990
        datac => \tec|reg_digit1\(3),
4991
        datad => \tec|reg_digit1\(0),
4992
        combout => \tec|Mux7~0_combout\);
4993
 
4994
-- Location: LCCOMB_X16_Y9_N22
4995
\tec|Equal56~2\ : cycloneive_lcell_comb
4996
-- Equation(s):
4997
-- \tec|Equal56~2_combout\ = (\tec|sm_counter\(5) & (!\tec|sm_counter\(7) & (!\tec|sm_counter\(6) & !\tec|sm_counter\(1))))
4998
 
4999
-- pragma translate_off
5000
GENERIC MAP (
5001
        lut_mask => "0000000000000010",
5002
        sum_lutc_input => "datac")
5003
-- pragma translate_on
5004
PORT MAP (
5005
        dataa => \tec|sm_counter\(5),
5006
        datab => \tec|sm_counter\(7),
5007
        datac => \tec|sm_counter\(6),
5008
        datad => \tec|sm_counter\(1),
5009
        combout => \tec|Equal56~2_combout\);
5010
 
5011
-- Location: LCCOMB_X16_Y9_N20
5012
\tec|Equal56~4\ : cycloneive_lcell_comb
5013
-- Equation(s):
5014
-- \tec|Equal56~4_combout\ = (\tec|sm_counter\(3) & (\tec|Equal24~2_combout\ & \tec|sm_counter\(4)))
5015
 
5016
-- pragma translate_off
5017
GENERIC MAP (
5018
        lut_mask => "1000100000000000",
5019
        sum_lutc_input => "datac")
5020
-- pragma translate_on
5021
PORT MAP (
5022
        dataa => \tec|sm_counter\(3),
5023
        datab => \tec|Equal24~2_combout\,
5024
        datad => \tec|sm_counter\(4),
5025
        combout => \tec|Equal56~4_combout\);
5026
 
5027
-- Location: LCCOMB_X16_Y9_N8
5028
\tec|Equal56~3\ : cycloneive_lcell_comb
5029
-- Equation(s):
5030
-- \tec|Equal56~3_combout\ = (\tec|Equal56~2_combout\ & (\tec|Equal56~4_combout\ & (\tec|Equal142~5_combout\ & \tec|Equal142~0_combout\)))
5031
 
5032
-- pragma translate_off
5033
GENERIC MAP (
5034
        lut_mask => "1000000000000000",
5035
        sum_lutc_input => "datac")
5036
-- pragma translate_on
5037
PORT MAP (
5038
        dataa => \tec|Equal56~2_combout\,
5039
        datab => \tec|Equal56~4_combout\,
5040
        datac => \tec|Equal142~5_combout\,
5041
        datad => \tec|Equal142~0_combout\,
5042
        combout => \tec|Equal56~3_combout\);
5043
 
5044
-- Location: LCCOMB_X17_Y13_N14
5045
\tec|Selector1~15\ : cycloneive_lcell_comb
5046
-- Equation(s):
5047
-- \tec|Selector1~15_combout\ = (!\tec|Mux7~0_combout\ & (\tec|sm_counter\(0) & (\tec|Equal56~3_combout\ & \tec|sm_counter\(2))))
5048
 
5049
-- pragma translate_off
5050
GENERIC MAP (
5051
        lut_mask => "0100000000000000",
5052
        sum_lutc_input => "datac")
5053
-- pragma translate_on
5054
PORT MAP (
5055
        dataa => \tec|Mux7~0_combout\,
5056
        datab => \tec|sm_counter\(0),
5057
        datac => \tec|Equal56~3_combout\,
5058
        datad => \tec|sm_counter\(2),
5059
        combout => \tec|Selector1~15_combout\);
5060
 
5061
-- Location: LCCOMB_X18_Y15_N14
5062
\tec|Mux5~0\ : cycloneive_lcell_comb
5063
-- Equation(s):
5064
-- \tec|Mux5~0_combout\ = (\tec|reg_digit0\(2) & (\tec|reg_digit0\(0) & (\tec|reg_digit0\(3) $ (\tec|reg_digit0\(1))))) # (!\tec|reg_digit0\(2) & (!\tec|reg_digit0\(3) & ((\tec|reg_digit0\(0)) # (\tec|reg_digit0\(1)))))
5065
 
5066
-- pragma translate_off
5067
GENERIC MAP (
5068
        lut_mask => "0000110110000100",
5069
        sum_lutc_input => "datac")
5070
-- pragma translate_on
5071
PORT MAP (
5072
        dataa => \tec|reg_digit0\(2),
5073
        datab => \tec|reg_digit0\(0),
5074
        datac => \tec|reg_digit0\(3),
5075
        datad => \tec|reg_digit0\(1),
5076
        combout => \tec|Mux5~0_combout\);
5077
 
5078
-- Location: LCCOMB_X18_Y17_N26
5079
\tec|Equal53~0\ : cycloneive_lcell_comb
5080
-- Equation(s):
5081
-- \tec|Equal53~0_combout\ = (\tec|sm_counter\(2) & (\tec|sm_counter\(5) & \tec|sm_counter\(0)))
5082
 
5083
-- pragma translate_off
5084
GENERIC MAP (
5085
        lut_mask => "1000000010000000",
5086
        sum_lutc_input => "datac")
5087
-- pragma translate_on
5088
PORT MAP (
5089
        dataa => \tec|sm_counter\(2),
5090
        datab => \tec|sm_counter\(5),
5091
        datac => \tec|sm_counter\(0),
5092
        combout => \tec|Equal53~0_combout\);
5093
 
5094
-- Location: LCCOMB_X19_Y12_N8
5095
\tec|Equal16~0\ : cycloneive_lcell_comb
5096
-- Equation(s):
5097
-- \tec|Equal16~0_combout\ = (\tec|sm_counter\(4) & (!\tec|sm_counter\(1) & (!\tec|sm_counter\(3) & !\tec|sm_counter\(6))))
5098
 
5099
-- pragma translate_off
5100
GENERIC MAP (
5101
        lut_mask => "0000000000000010",
5102
        sum_lutc_input => "datac")
5103
-- pragma translate_on
5104
PORT MAP (
5105
        dataa => \tec|sm_counter\(4),
5106
        datab => \tec|sm_counter\(1),
5107
        datac => \tec|sm_counter\(3),
5108
        datad => \tec|sm_counter\(6),
5109
        combout => \tec|Equal16~0_combout\);
5110
 
5111
-- Location: LCCOMB_X17_Y13_N20
5112
\tec|Selector1~18\ : cycloneive_lcell_comb
5113
-- Equation(s):
5114
-- \tec|Selector1~18_combout\ = (!\tec|Mux5~0_combout\ & (\tec|Equal53~0_combout\ & (\tec|Equal16~0_combout\ & \tec|Equal64~0_combout\)))
5115
 
5116
-- pragma translate_off
5117
GENERIC MAP (
5118
        lut_mask => "0100000000000000",
5119
        sum_lutc_input => "datac")
5120
-- pragma translate_on
5121
PORT MAP (
5122
        dataa => \tec|Mux5~0_combout\,
5123
        datab => \tec|Equal53~0_combout\,
5124
        datac => \tec|Equal16~0_combout\,
5125
        datad => \tec|Equal64~0_combout\,
5126
        combout => \tec|Selector1~18_combout\);
5127
 
5128
-- Location: LCCOMB_X17_Y14_N16
5129
\tec|Mux26~0\ : cycloneive_lcell_comb
5130
-- Equation(s):
5131
-- \tec|Mux26~0_combout\ = (\tec|reg_digit3\(1) & (!\tec|reg_digit3\(3) & ((\tec|reg_digit3\(0)) # (!\tec|reg_digit3\(2))))) # (!\tec|reg_digit3\(1) & (\tec|reg_digit3\(0) & (\tec|reg_digit3\(2) $ (!\tec|reg_digit3\(3)))))
5132
 
5133
-- pragma translate_off
5134
GENERIC MAP (
5135
        lut_mask => "0100000010001110",
5136
        sum_lutc_input => "datac")
5137
-- pragma translate_on
5138
PORT MAP (
5139
        dataa => \tec|reg_digit3\(1),
5140
        datab => \tec|reg_digit3\(0),
5141
        datac => \tec|reg_digit3\(2),
5142
        datad => \tec|reg_digit3\(3),
5143
        combout => \tec|Mux26~0_combout\);
5144
 
5145
-- Location: LCCOMB_X19_Y12_N4
5146
\tec|Equal74~0\ : cycloneive_lcell_comb
5147
-- Equation(s):
5148
-- \tec|Equal74~0_combout\ = (\tec|sm_counter\(1) & \tec|sm_counter\(6))
5149
 
5150
-- pragma translate_off
5151
GENERIC MAP (
5152
        lut_mask => "1111000000000000",
5153
        sum_lutc_input => "datac")
5154
-- pragma translate_on
5155
PORT MAP (
5156
        datac => \tec|sm_counter\(1),
5157
        datad => \tec|sm_counter\(6),
5158
        combout => \tec|Equal74~0_combout\);
5159
 
5160
-- Location: LCCOMB_X19_Y12_N6
5161
\tec|Equal74~1\ : cycloneive_lcell_comb
5162
-- Equation(s):
5163
-- \tec|Equal74~1_combout\ = (\tec|Equal64~0_combout\ & (\tec|Equal74~0_combout\ & (!\tec|sm_counter\(4) & \tec|Equal8~0_combout\)))
5164
 
5165
-- pragma translate_off
5166
GENERIC MAP (
5167
        lut_mask => "0000100000000000",
5168
        sum_lutc_input => "datac")
5169
-- pragma translate_on
5170
PORT MAP (
5171
        dataa => \tec|Equal64~0_combout\,
5172
        datab => \tec|Equal74~0_combout\,
5173
        datac => \tec|sm_counter\(4),
5174
        datad => \tec|Equal8~0_combout\,
5175
        combout => \tec|Equal74~1_combout\);
5176
 
5177
-- Location: LCCOMB_X17_Y13_N6
5178
\tec|Selector1~19\ : cycloneive_lcell_comb
5179
-- Equation(s):
5180
-- \tec|Selector1~19_combout\ = (\tec|Selector1~18_combout\) # ((\tec|Equal37~0_combout\ & (!\tec|Mux26~0_combout\ & \tec|Equal74~1_combout\)))
5181
 
5182
-- pragma translate_off
5183
GENERIC MAP (
5184
        lut_mask => "1010111010101010",
5185
        sum_lutc_input => "datac")
5186
-- pragma translate_on
5187
PORT MAP (
5188
        dataa => \tec|Selector1~18_combout\,
5189
        datab => \tec|Equal37~0_combout\,
5190
        datac => \tec|Mux26~0_combout\,
5191
        datad => \tec|Equal74~1_combout\,
5192
        combout => \tec|Selector1~19_combout\);
5193
 
5194
-- Location: LCCOMB_X17_Y13_N24
5195
\tec|Equal63~0\ : cycloneive_lcell_comb
5196
-- Equation(s):
5197
-- \tec|Equal63~0_combout\ = (\tec|sm_counter\(4) & (\tec|Equal53~0_combout\ & (\tec|sm_counter\(3) & \tec|Equal2~4_combout\)))
5198
 
5199
-- pragma translate_off
5200
GENERIC MAP (
5201
        lut_mask => "1000000000000000",
5202
        sum_lutc_input => "datac")
5203
-- pragma translate_on
5204
PORT MAP (
5205
        dataa => \tec|sm_counter\(4),
5206
        datab => \tec|Equal53~0_combout\,
5207
        datac => \tec|sm_counter\(3),
5208
        datad => \tec|Equal2~4_combout\,
5209
        combout => \tec|Equal63~0_combout\);
5210
 
5211
-- Location: LCCOMB_X16_Y14_N8
5212
\tec|Mux8~0\ : cycloneive_lcell_comb
5213
-- Equation(s):
5214
-- \tec|Mux8~0_combout\ = (\tec|reg_digit1\(1) & ((\tec|reg_digit1\(0) & ((\tec|reg_digit1\(3)))) # (!\tec|reg_digit1\(0) & (\tec|reg_digit1\(2))))) # (!\tec|reg_digit1\(1) & (\tec|reg_digit1\(2) & (\tec|reg_digit1\(0) $ (\tec|reg_digit1\(3)))))
5215
 
5216
-- pragma translate_off
5217
GENERIC MAP (
5218
        lut_mask => "1110010001001000",
5219
        sum_lutc_input => "datac")
5220
-- pragma translate_on
5221
PORT MAP (
5222
        dataa => \tec|reg_digit1\(0),
5223
        datab => \tec|reg_digit1\(2),
5224
        datac => \tec|reg_digit1\(1),
5225
        datad => \tec|reg_digit1\(3),
5226
        combout => \tec|Mux8~0_combout\);
5227
 
5228
-- Location: LCCOMB_X17_Y14_N18
5229
\tec|Mux27~0\ : cycloneive_lcell_comb
5230
-- Equation(s):
5231
-- \tec|Mux27~0_combout\ = (\tec|reg_digit3\(0) & ((\tec|reg_digit3\(3)) # (\tec|reg_digit3\(1) $ (\tec|reg_digit3\(2))))) # (!\tec|reg_digit3\(0) & ((\tec|reg_digit3\(1)) # (\tec|reg_digit3\(3) $ (\tec|reg_digit3\(2)))))
5232
 
5233
-- pragma translate_off
5234
GENERIC MAP (
5235
        lut_mask => "1110011111111010",
5236
        sum_lutc_input => "datac")
5237
-- pragma translate_on
5238
PORT MAP (
5239
        dataa => \tec|reg_digit3\(1),
5240
        datab => \tec|reg_digit3\(0),
5241
        datac => \tec|reg_digit3\(3),
5242
        datad => \tec|reg_digit3\(2),
5243
        combout => \tec|Mux27~0_combout\);
5244
 
5245
-- Location: LCCOMB_X17_Y13_N18
5246
\tec|Selector1~17\ : cycloneive_lcell_comb
5247
-- Equation(s):
5248
-- \tec|Selector1~17_combout\ = (\tec|Equal37~1_combout\ & (\tec|Mux27~0_combout\ & \tec|Equal76~1_combout\))
5249
 
5250
-- pragma translate_off
5251
GENERIC MAP (
5252
        lut_mask => "1100000000000000",
5253
        sum_lutc_input => "datac")
5254
-- pragma translate_on
5255
PORT MAP (
5256
        datab => \tec|Equal37~1_combout\,
5257
        datac => \tec|Mux27~0_combout\,
5258
        datad => \tec|Equal76~1_combout\,
5259
        combout => \tec|Selector1~17_combout\);
5260
 
5261
-- Location: LCCOMB_X17_Y13_N0
5262
\tec|Selector1~20\ : cycloneive_lcell_comb
5263
-- Equation(s):
5264
-- \tec|Selector1~20_combout\ = (\tec|Selector1~19_combout\) # ((\tec|Selector1~17_combout\) # ((\tec|Equal63~0_combout\ & !\tec|Mux8~0_combout\)))
5265
 
5266
-- pragma translate_off
5267
GENERIC MAP (
5268
        lut_mask => "1111111110101110",
5269
        sum_lutc_input => "datac")
5270
-- pragma translate_on
5271
PORT MAP (
5272
        dataa => \tec|Selector1~19_combout\,
5273
        datab => \tec|Equal63~0_combout\,
5274
        datac => \tec|Mux8~0_combout\,
5275
        datad => \tec|Selector1~17_combout\,
5276
        combout => \tec|Selector1~20_combout\);
5277
 
5278
-- Location: LCCOMB_X17_Y14_N30
5279
\tec|Mux24~0\ : cycloneive_lcell_comb
5280
-- Equation(s):
5281
-- \tec|Mux24~0_combout\ = (\tec|reg_digit3\(1) & ((\tec|reg_digit3\(0) & ((\tec|reg_digit3\(2)))) # (!\tec|reg_digit3\(0) & (\tec|reg_digit3\(3) & !\tec|reg_digit3\(2))))) # (!\tec|reg_digit3\(1) & (!\tec|reg_digit3\(3) & (\tec|reg_digit3\(0) $
5282
-- (\tec|reg_digit3\(2)))))
5283
 
5284
-- pragma translate_off
5285
GENERIC MAP (
5286
        lut_mask => "1100000100100100",
5287
        sum_lutc_input => "datac")
5288
-- pragma translate_on
5289
PORT MAP (
5290
        dataa => \tec|reg_digit3\(3),
5291
        datab => \tec|reg_digit3\(0),
5292
        datac => \tec|reg_digit3\(1),
5293
        datad => \tec|reg_digit3\(2),
5294
        combout => \tec|Mux24~0_combout\);
5295
 
5296
-- Location: LCCOMB_X17_Y14_N6
5297
\tec|Selector1~13\ : cycloneive_lcell_comb
5298
-- Equation(s):
5299
-- \tec|Selector1~13_combout\ = (!\tec|Mux24~0_combout\ & (\tec|Equal96~0_combout\ & \tec|Equal71~0_combout\))
5300
 
5301
-- pragma translate_off
5302
GENERIC MAP (
5303
        lut_mask => "0011000000000000",
5304
        sum_lutc_input => "datac")
5305
-- pragma translate_on
5306
PORT MAP (
5307
        datab => \tec|Mux24~0_combout\,
5308
        datac => \tec|Equal96~0_combout\,
5309
        datad => \tec|Equal71~0_combout\,
5310
        combout => \tec|Selector1~13_combout\);
5311
 
5312
-- Location: LCCOMB_X17_Y14_N20
5313
\tec|Mux23~0\ : cycloneive_lcell_comb
5314
-- Equation(s):
5315
-- \tec|Mux23~0_combout\ = (\tec|reg_digit3\(2) & (\tec|reg_digit3\(3) & ((\tec|reg_digit3\(1)) # (!\tec|reg_digit3\(0))))) # (!\tec|reg_digit3\(2) & (\tec|reg_digit3\(1) & (!\tec|reg_digit3\(0) & !\tec|reg_digit3\(3))))
5316
 
5317
-- pragma translate_off
5318
GENERIC MAP (
5319
        lut_mask => "1000110000000010",
5320
        sum_lutc_input => "datac")
5321
-- pragma translate_on
5322
PORT MAP (
5323
        dataa => \tec|reg_digit3\(1),
5324
        datab => \tec|reg_digit3\(2),
5325
        datac => \tec|reg_digit3\(0),
5326
        datad => \tec|reg_digit3\(3),
5327
        combout => \tec|Mux23~0_combout\);
5328
 
5329
-- Location: LCCOMB_X17_Y14_N24
5330
\tec|Selector1~14\ : cycloneive_lcell_comb
5331
-- Equation(s):
5332
-- \tec|Selector1~14_combout\ = (\tec|Selector1~13_combout\) # ((\tec|Equal69~0_combout\ & (\tec|Equal96~0_combout\ & !\tec|Mux23~0_combout\)))
5333
 
5334
-- pragma translate_off
5335
GENERIC MAP (
5336
        lut_mask => "1010101011101010",
5337
        sum_lutc_input => "datac")
5338
-- pragma translate_on
5339
PORT MAP (
5340
        dataa => \tec|Selector1~13_combout\,
5341
        datab => \tec|Equal69~0_combout\,
5342
        datac => \tec|Equal96~0_combout\,
5343
        datad => \tec|Mux23~0_combout\,
5344
        combout => \tec|Selector1~14_combout\);
5345
 
5346
-- Location: LCCOMB_X18_Y15_N20
5347
\tec|Mux6~0\ : cycloneive_lcell_comb
5348
-- Equation(s):
5349
-- \tec|Mux6~0_combout\ = (\tec|reg_digit0\(0) & ((\tec|reg_digit0\(3)) # (\tec|reg_digit0\(2) $ (\tec|reg_digit0\(1))))) # (!\tec|reg_digit0\(0) & ((\tec|reg_digit0\(1)) # (\tec|reg_digit0\(2) $ (\tec|reg_digit0\(3)))))
5350
 
5351
-- pragma translate_off
5352
GENERIC MAP (
5353
        lut_mask => "1111011111011010",
5354
        sum_lutc_input => "datac")
5355
-- pragma translate_on
5356
PORT MAP (
5357
        dataa => \tec|reg_digit0\(2),
5358
        datab => \tec|reg_digit0\(0),
5359
        datac => \tec|reg_digit0\(3),
5360
        datad => \tec|reg_digit0\(1),
5361
        combout => \tec|Mux6~0_combout\);
5362
 
5363
-- Location: LCCOMB_X17_Y13_N16
5364
\tec|Selector1~16\ : cycloneive_lcell_comb
5365
-- Equation(s):
5366
-- \tec|Selector1~16_combout\ = (\tec|Equal53~0_combout\ & (\tec|Mux6~0_combout\ & \tec|Equal18~1_combout\))
5367
 
5368
-- pragma translate_off
5369
GENERIC MAP (
5370
        lut_mask => "1100000000000000",
5371
        sum_lutc_input => "datac")
5372
-- pragma translate_on
5373
PORT MAP (
5374
        datab => \tec|Equal53~0_combout\,
5375
        datac => \tec|Mux6~0_combout\,
5376
        datad => \tec|Equal18~1_combout\,
5377
        combout => \tec|Selector1~16_combout\);
5378
 
5379
-- Location: LCCOMB_X17_Y13_N2
5380
\tec|Selector1~21\ : cycloneive_lcell_comb
5381
-- Equation(s):
5382
-- \tec|Selector1~21_combout\ = (\tec|Selector1~15_combout\) # ((\tec|Selector1~20_combout\) # ((\tec|Selector1~14_combout\) # (\tec|Selector1~16_combout\)))
5383
 
5384
-- pragma translate_off
5385
GENERIC MAP (
5386
        lut_mask => "1111111111111110",
5387
        sum_lutc_input => "datac")
5388
-- pragma translate_on
5389
PORT MAP (
5390
        dataa => \tec|Selector1~15_combout\,
5391
        datab => \tec|Selector1~20_combout\,
5392
        datac => \tec|Selector1~14_combout\,
5393
        datad => \tec|Selector1~16_combout\,
5394
        combout => \tec|Selector1~21_combout\);
5395
 
5396
-- Location: LCCOMB_X16_Y15_N22
5397
\tec|Selector1~34\ : cycloneive_lcell_comb
5398
-- Equation(s):
5399
-- \tec|Selector1~34_combout\ = (\tec|Selector1~26_combout\) # ((\tec|Selector1~33_combout\) # ((\tec|Selector1~24_combout\) # (\tec|Selector1~21_combout\)))
5400
 
5401
-- pragma translate_off
5402
GENERIC MAP (
5403
        lut_mask => "1111111111111110",
5404
        sum_lutc_input => "datac")
5405
-- pragma translate_on
5406
PORT MAP (
5407
        dataa => \tec|Selector1~26_combout\,
5408
        datab => \tec|Selector1~33_combout\,
5409
        datac => \tec|Selector1~24_combout\,
5410
        datad => \tec|Selector1~21_combout\,
5411
        combout => \tec|Selector1~34_combout\);
5412
 
5413
-- Location: LCCOMB_X16_Y15_N6
5414
\tec|Selector1~0\ : cycloneive_lcell_comb
5415
-- Equation(s):
5416
-- \tec|Selector1~0_combout\ = ((\tec|sm_counter\(3) & (!\tec|Equal7~2_combout\)) # (!\tec|sm_counter\(3) & ((!\tec|Equal37~1_combout\)))) # (!\tec|Equal7~1_combout\)
5417
 
5418
-- pragma translate_off
5419
GENERIC MAP (
5420
        lut_mask => "0101001111111111",
5421
        sum_lutc_input => "datac")
5422
-- pragma translate_on
5423
PORT MAP (
5424
        dataa => \tec|Equal7~2_combout\,
5425
        datab => \tec|Equal37~1_combout\,
5426
        datac => \tec|sm_counter\(3),
5427
        datad => \tec|Equal7~1_combout\,
5428
        combout => \tec|Selector1~0_combout\);
5429
 
5430
-- Location: LCCOMB_X17_Y19_N16
5431
\tec|Equal40~0\ : cycloneive_lcell_comb
5432
-- Equation(s):
5433
-- \tec|Equal40~0_combout\ = (!\tec|sm_counter\(0) & \tec|sm_counter\(5))
5434
 
5435
-- pragma translate_off
5436
GENERIC MAP (
5437
        lut_mask => "0101010100000000",
5438
        sum_lutc_input => "datac")
5439
-- pragma translate_on
5440
PORT MAP (
5441
        dataa => \tec|sm_counter\(0),
5442
        datad => \tec|sm_counter\(5),
5443
        combout => \tec|Equal40~0_combout\);
5444
 
5445
-- Location: LCCOMB_X17_Y19_N20
5446
\tec|Equal113~3\ : cycloneive_lcell_comb
5447
-- Equation(s):
5448
-- \tec|Equal113~3_combout\ = (\tec|sm_counter\(6) & (\tec|sm_counter\(4) & (\tec|Equal64~0_combout\ & !\tec|sm_counter\(3))))
5449
 
5450
-- pragma translate_off
5451
GENERIC MAP (
5452
        lut_mask => "0000000010000000",
5453
        sum_lutc_input => "datac")
5454
-- pragma translate_on
5455
PORT MAP (
5456
        dataa => \tec|sm_counter\(6),
5457
        datab => \tec|sm_counter\(4),
5458
        datac => \tec|Equal64~0_combout\,
5459
        datad => \tec|sm_counter\(3),
5460
        combout => \tec|Equal113~3_combout\);
5461
 
5462
-- Location: LCCOMB_X17_Y19_N26
5463
\tec|Equal117~0\ : cycloneive_lcell_comb
5464
-- Equation(s):
5465
-- \tec|Equal117~0_combout\ = (\tec|sm_counter\(0) & (\tec|sm_counter\(2) & (!\tec|sm_counter\(1) & \tec|sm_counter\(5))))
5466
 
5467
-- pragma translate_off
5468
GENERIC MAP (
5469
        lut_mask => "0000100000000000",
5470
        sum_lutc_input => "datac")
5471
-- pragma translate_on
5472
PORT MAP (
5473
        dataa => \tec|sm_counter\(0),
5474
        datab => \tec|sm_counter\(2),
5475
        datac => \tec|sm_counter\(1),
5476
        datad => \tec|sm_counter\(5),
5477
        combout => \tec|Equal117~0_combout\);
5478
 
5479
-- Location: LCCOMB_X18_Y14_N0
5480
\tec|Equal8~2\ : cycloneive_lcell_comb
5481
-- Equation(s):
5482
-- \tec|Equal8~2_combout\ = (!\tec|sm_counter\(6) & \tec|Equal8~1_combout\)
5483
 
5484
-- pragma translate_off
5485
GENERIC MAP (
5486
        lut_mask => "0000111100000000",
5487
        sum_lutc_input => "datac")
5488
-- pragma translate_on
5489
PORT MAP (
5490
        datac => \tec|sm_counter\(6),
5491
        datad => \tec|Equal8~1_combout\,
5492
        combout => \tec|Equal8~2_combout\);
5493
 
5494
-- Location: LCCOMB_X17_Y19_N4
5495
\tec|Selector3~1\ : cycloneive_lcell_comb
5496
-- Equation(s):
5497
-- \tec|Selector3~1_combout\ = (\tec|Equal40~0_combout\ & (!\tec|Equal8~2_combout\ & ((!\tec|Equal117~0_combout\) # (!\tec|Equal113~3_combout\)))) # (!\tec|Equal40~0_combout\ & (((!\tec|Equal117~0_combout\)) # (!\tec|Equal113~3_combout\)))
5498
 
5499
-- pragma translate_off
5500
GENERIC MAP (
5501
        lut_mask => "0001010100111111",
5502
        sum_lutc_input => "datac")
5503
-- pragma translate_on
5504
PORT MAP (
5505
        dataa => \tec|Equal40~0_combout\,
5506
        datab => \tec|Equal113~3_combout\,
5507
        datac => \tec|Equal117~0_combout\,
5508
        datad => \tec|Equal8~2_combout\,
5509
        combout => \tec|Selector3~1_combout\);
5510
 
5511
-- Location: LCCOMB_X21_Y9_N16
5512
\tec|Equal128~0\ : cycloneive_lcell_comb
5513
-- Equation(s):
5514
-- \tec|Equal128~0_combout\ = (!\tec|sm_counter\(6) & (\tec|sm_counter\(7) & (!\tec|sm_counter\(4) & !\tec|sm_counter\(5))))
5515
 
5516
-- pragma translate_off
5517
GENERIC MAP (
5518
        lut_mask => "0000000000000100",
5519
        sum_lutc_input => "datac")
5520
-- pragma translate_on
5521
PORT MAP (
5522
        dataa => \tec|sm_counter\(6),
5523
        datab => \tec|sm_counter\(7),
5524
        datac => \tec|sm_counter\(4),
5525
        datad => \tec|sm_counter\(5),
5526
        combout => \tec|Equal128~0_combout\);
5527
 
5528
-- Location: LCCOMB_X21_Y9_N18
5529
\tec|Equal128~1\ : cycloneive_lcell_comb
5530
-- Equation(s):
5531
-- \tec|Equal128~1_combout\ = (\tec|Equal142~5_combout\ & (\tec|Equal128~0_combout\ & (\tec|Equal24~2_combout\ & \tec|Equal142~0_combout\)))
5532
 
5533
-- pragma translate_off
5534
GENERIC MAP (
5535
        lut_mask => "1000000000000000",
5536
        sum_lutc_input => "datac")
5537
-- pragma translate_on
5538
PORT MAP (
5539
        dataa => \tec|Equal142~5_combout\,
5540
        datab => \tec|Equal128~0_combout\,
5541
        datac => \tec|Equal24~2_combout\,
5542
        datad => \tec|Equal142~0_combout\,
5543
        combout => \tec|Equal128~1_combout\);
5544
 
5545
-- Location: LCCOMB_X18_Y17_N20
5546
\tec|Equal140~0\ : cycloneive_lcell_comb
5547
-- Equation(s):
5548
-- \tec|Equal140~0_combout\ = (\tec|sm_counter\(3) & \tec|Equal128~1_combout\)
5549
 
5550
-- pragma translate_off
5551
GENERIC MAP (
5552
        lut_mask => "1010101000000000",
5553
        sum_lutc_input => "datac")
5554
-- pragma translate_on
5555
PORT MAP (
5556
        dataa => \tec|sm_counter\(3),
5557
        datad => \tec|Equal128~1_combout\,
5558
        combout => \tec|Equal140~0_combout\);
5559
 
5560
-- Location: LCCOMB_X17_Y19_N24
5561
\tec|Equal1~0\ : cycloneive_lcell_comb
5562
-- Equation(s):
5563
-- \tec|Equal1~0_combout\ = (!\tec|sm_counter\(2) & !\tec|sm_counter\(5))
5564
 
5565
-- pragma translate_off
5566
GENERIC MAP (
5567
        lut_mask => "0000000000001111",
5568
        sum_lutc_input => "datac")
5569
-- pragma translate_on
5570
PORT MAP (
5571
        datac => \tec|sm_counter\(2),
5572
        datad => \tec|sm_counter\(5),
5573
        combout => \tec|Equal1~0_combout\);
5574
 
5575
-- Location: LCCOMB_X17_Y19_N30
5576
\tec|Equal0~0\ : cycloneive_lcell_comb
5577
-- Equation(s):
5578
-- \tec|Equal0~0_combout\ = (!\tec|sm_counter\(6) & (!\tec|sm_counter\(4) & (\tec|Equal64~0_combout\ & !\tec|sm_counter\(3))))
5579
 
5580
-- pragma translate_off
5581
GENERIC MAP (
5582
        lut_mask => "0000000000010000",
5583
        sum_lutc_input => "datac")
5584
-- pragma translate_on
5585
PORT MAP (
5586
        dataa => \tec|sm_counter\(6),
5587
        datab => \tec|sm_counter\(4),
5588
        datac => \tec|Equal64~0_combout\,
5589
        datad => \tec|sm_counter\(3),
5590
        combout => \tec|Equal0~0_combout\);
5591
 
5592
-- Location: LCCOMB_X16_Y17_N6
5593
\tec|Equal1~1\ : cycloneive_lcell_comb
5594
-- Equation(s):
5595
-- \tec|Equal1~1_combout\ = (\tec|Equal1~0_combout\ & (!\tec|sm_counter\(1) & (\tec|sm_counter\(0) & \tec|Equal0~0_combout\)))
5596
 
5597
-- pragma translate_off
5598
GENERIC MAP (
5599
        lut_mask => "0010000000000000",
5600
        sum_lutc_input => "datac")
5601
-- pragma translate_on
5602
PORT MAP (
5603
        dataa => \tec|Equal1~0_combout\,
5604
        datab => \tec|sm_counter\(1),
5605
        datac => \tec|sm_counter\(0),
5606
        datad => \tec|Equal0~0_combout\,
5607
        combout => \tec|Equal1~1_combout\);
5608
 
5609
-- Location: LCCOMB_X18_Y17_N18
5610
\tec|Equal68~0\ : cycloneive_lcell_comb
5611
-- Equation(s):
5612
-- \tec|Equal68~0_combout\ = (\tec|sm_counter\(2) & (!\tec|sm_counter\(1) & !\tec|sm_counter\(0)))
5613
 
5614
-- pragma translate_off
5615
GENERIC MAP (
5616
        lut_mask => "0000001000000010",
5617
        sum_lutc_input => "datac")
5618
-- pragma translate_on
5619
PORT MAP (
5620
        dataa => \tec|sm_counter\(2),
5621
        datab => \tec|sm_counter\(1),
5622
        datac => \tec|sm_counter\(0),
5623
        combout => \tec|Equal68~0_combout\);
5624
 
5625
-- Location: LCCOMB_X17_Y17_N0
5626
\tec|Selector3~3\ : cycloneive_lcell_comb
5627
-- Equation(s):
5628
-- \tec|Selector3~3_combout\ = (!\tec|Equal1~1_combout\ & (((!\tec|Equal69~0_combout\ & !\tec|Equal68~0_combout\)) # (!\tec|Equal140~0_combout\)))
5629
 
5630
-- pragma translate_off
5631
GENERIC MAP (
5632
        lut_mask => "0000010100000111",
5633
        sum_lutc_input => "datac")
5634
-- pragma translate_on
5635
PORT MAP (
5636
        dataa => \tec|Equal140~0_combout\,
5637
        datab => \tec|Equal69~0_combout\,
5638
        datac => \tec|Equal1~1_combout\,
5639
        datad => \tec|Equal68~0_combout\,
5640
        combout => \tec|Selector3~3_combout\);
5641
 
5642
-- Location: LCCOMB_X19_Y17_N28
5643
\tec|Equal136~1\ : cycloneive_lcell_comb
5644
-- Equation(s):
5645
-- \tec|Equal136~1_combout\ = (\tec|sm_counter\(3) & (!\tec|sm_counter\(2) & \tec|Equal128~1_combout\))
5646
 
5647
-- pragma translate_off
5648
GENERIC MAP (
5649
        lut_mask => "0000101000000000",
5650
        sum_lutc_input => "datac")
5651
-- pragma translate_on
5652
PORT MAP (
5653
        dataa => \tec|sm_counter\(3),
5654
        datac => \tec|sm_counter\(2),
5655
        datad => \tec|Equal128~1_combout\,
5656
        combout => \tec|Equal136~1_combout\);
5657
 
5658
-- Location: LCCOMB_X16_Y17_N0
5659
\tec|Equal0~1\ : cycloneive_lcell_comb
5660
-- Equation(s):
5661
-- \tec|Equal0~1_combout\ = (!\tec|sm_counter\(0) & (!\tec|sm_counter\(1) & (!\tec|sm_counter\(5) & !\tec|sm_counter\(2))))
5662
 
5663
-- pragma translate_off
5664
GENERIC MAP (
5665
        lut_mask => "0000000000000001",
5666
        sum_lutc_input => "datac")
5667
-- pragma translate_on
5668
PORT MAP (
5669
        dataa => \tec|sm_counter\(0),
5670
        datab => \tec|sm_counter\(1),
5671
        datac => \tec|sm_counter\(5),
5672
        datad => \tec|sm_counter\(2),
5673
        combout => \tec|Equal0~1_combout\);
5674
 
5675
-- Location: LCCOMB_X16_Y17_N26
5676
\tec|Selector3~2\ : cycloneive_lcell_comb
5677
-- Equation(s):
5678
-- \tec|Selector3~2_combout\ = (\tec|Equal136~1_combout\ & (!\tec|Equal7~0_combout\ & ((!\tec|Equal0~0_combout\) # (!\tec|Equal0~1_combout\)))) # (!\tec|Equal136~1_combout\ & (((!\tec|Equal0~0_combout\)) # (!\tec|Equal0~1_combout\)))
5679
 
5680
-- pragma translate_off
5681
GENERIC MAP (
5682
        lut_mask => "0001001101011111",
5683
        sum_lutc_input => "datac")
5684
-- pragma translate_on
5685
PORT MAP (
5686
        dataa => \tec|Equal136~1_combout\,
5687
        datab => \tec|Equal0~1_combout\,
5688
        datac => \tec|Equal7~0_combout\,
5689
        datad => \tec|Equal0~0_combout\,
5690
        combout => \tec|Selector3~2_combout\);
5691
 
5692
-- Location: LCCOMB_X16_Y17_N16
5693
\tec|Equal4~0\ : cycloneive_lcell_comb
5694
-- Equation(s):
5695
-- \tec|Equal4~0_combout\ = (!\tec|sm_counter\(0) & \tec|Equal7~1_combout\)
5696
 
5697
-- pragma translate_off
5698
GENERIC MAP (
5699
        lut_mask => "0000111100000000",
5700
        sum_lutc_input => "datac")
5701
-- pragma translate_on
5702
PORT MAP (
5703
        datac => \tec|sm_counter\(0),
5704
        datad => \tec|Equal7~1_combout\,
5705
        combout => \tec|Equal4~0_combout\);
5706
 
5707
-- Location: LCCOMB_X16_Y17_N18
5708
\tec|Equal38~0\ : cycloneive_lcell_comb
5709
-- Equation(s):
5710
-- \tec|Equal38~0_combout\ = (!\tec|sm_counter\(3) & (\tec|Equal4~0_combout\ & (\tec|sm_counter\(5) & \tec|sm_counter\(1))))
5711
 
5712
-- pragma translate_off
5713
GENERIC MAP (
5714
        lut_mask => "0100000000000000",
5715
        sum_lutc_input => "datac")
5716
-- pragma translate_on
5717
PORT MAP (
5718
        dataa => \tec|sm_counter\(3),
5719
        datab => \tec|Equal4~0_combout\,
5720
        datac => \tec|sm_counter\(5),
5721
        datad => \tec|sm_counter\(1),
5722
        combout => \tec|Equal38~0_combout\);
5723
 
5724
-- Location: LCCOMB_X16_Y17_N4
5725
\tec|Selector3~4\ : cycloneive_lcell_comb
5726
-- Equation(s):
5727
-- \tec|Selector3~4_combout\ = (\tec|Selector3~1_combout\ & (\tec|Selector3~3_combout\ & (\tec|Selector3~2_combout\ & !\tec|Equal38~0_combout\)))
5728
 
5729
-- pragma translate_off
5730
GENERIC MAP (
5731
        lut_mask => "0000000010000000",
5732
        sum_lutc_input => "datac")
5733
-- pragma translate_on
5734
PORT MAP (
5735
        dataa => \tec|Selector3~1_combout\,
5736
        datab => \tec|Selector3~3_combout\,
5737
        datac => \tec|Selector3~2_combout\,
5738
        datad => \tec|Equal38~0_combout\,
5739
        combout => \tec|Selector3~4_combout\);
5740
 
5741
-- Location: LCCOMB_X17_Y10_N20
5742
\tec|Equal63~1\ : cycloneive_lcell_comb
5743
-- Equation(s):
5744
-- \tec|Equal63~1_combout\ = (\tec|sm_counter\(2) & (\tec|sm_counter\(4) & (\tec|sm_counter\(0) & \tec|sm_counter\(5))))
5745
 
5746
-- pragma translate_off
5747
GENERIC MAP (
5748
        lut_mask => "1000000000000000",
5749
        sum_lutc_input => "datac")
5750
-- pragma translate_on
5751
PORT MAP (
5752
        dataa => \tec|sm_counter\(2),
5753
        datab => \tec|sm_counter\(4),
5754
        datac => \tec|sm_counter\(0),
5755
        datad => \tec|sm_counter\(5),
5756
        combout => \tec|Equal63~1_combout\);
5757
 
5758
-- Location: LCCOMB_X17_Y11_N10
5759
\tec|Equal119~0\ : cycloneive_lcell_comb
5760
-- Equation(s):
5761
-- \tec|Equal119~0_combout\ = (\tec|sm_counter\(1) & (\tec|Equal64~1_combout\ & (\tec|Equal64~0_combout\ & \tec|Equal63~1_combout\)))
5762
 
5763
-- pragma translate_off
5764
GENERIC MAP (
5765
        lut_mask => "1000000000000000",
5766
        sum_lutc_input => "datac")
5767
-- pragma translate_on
5768
PORT MAP (
5769
        dataa => \tec|sm_counter\(1),
5770
        datab => \tec|Equal64~1_combout\,
5771
        datac => \tec|Equal64~0_combout\,
5772
        datad => \tec|Equal63~1_combout\,
5773
        combout => \tec|Equal119~0_combout\);
5774
 
5775
-- Location: LCCOMB_X19_Y17_N10
5776
\tec|Equal23~0\ : cycloneive_lcell_comb
5777
-- Equation(s):
5778
-- \tec|Equal23~0_combout\ = (\tec|Equal18~1_combout\ & (\tec|sm_counter\(2) & (!\tec|sm_counter\(5) & \tec|sm_counter\(0))))
5779
 
5780
-- pragma translate_off
5781
GENERIC MAP (
5782
        lut_mask => "0000100000000000",
5783
        sum_lutc_input => "datac")
5784
-- pragma translate_on
5785
PORT MAP (
5786
        dataa => \tec|Equal18~1_combout\,
5787
        datab => \tec|sm_counter\(2),
5788
        datac => \tec|sm_counter\(5),
5789
        datad => \tec|sm_counter\(0),
5790
        combout => \tec|Equal23~0_combout\);
5791
 
5792
-- Location: LCCOMB_X19_Y17_N0
5793
\tec|Equal133~0\ : cycloneive_lcell_comb
5794
-- Equation(s):
5795
-- \tec|Equal133~0_combout\ = (!\tec|sm_counter\(3) & (\tec|Equal1~2_combout\ & (\tec|sm_counter\(2) & \tec|Equal128~1_combout\)))
5796
 
5797
-- pragma translate_off
5798
GENERIC MAP (
5799
        lut_mask => "0100000000000000",
5800
        sum_lutc_input => "datac")
5801
-- pragma translate_on
5802
PORT MAP (
5803
        dataa => \tec|sm_counter\(3),
5804
        datab => \tec|Equal1~2_combout\,
5805
        datac => \tec|sm_counter\(2),
5806
        datad => \tec|Equal128~1_combout\,
5807
        combout => \tec|Equal133~0_combout\);
5808
 
5809
-- Location: LCCOMB_X19_Y17_N30
5810
\tec|Equal39~0\ : cycloneive_lcell_comb
5811
-- Equation(s):
5812
-- \tec|Equal39~0_combout\ = (\tec|sm_counter\(1) & (\tec|sm_counter\(0) & (\tec|sm_counter\(5) & !\tec|sm_counter\(3))))
5813
 
5814
-- pragma translate_off
5815
GENERIC MAP (
5816
        lut_mask => "0000000010000000",
5817
        sum_lutc_input => "datac")
5818
-- pragma translate_on
5819
PORT MAP (
5820
        dataa => \tec|sm_counter\(1),
5821
        datab => \tec|sm_counter\(0),
5822
        datac => \tec|sm_counter\(5),
5823
        datad => \tec|sm_counter\(3),
5824
        combout => \tec|Equal39~0_combout\);
5825
 
5826
-- Location: LCCOMB_X19_Y17_N18
5827
\tec|Selector1~1\ : cycloneive_lcell_comb
5828
-- Equation(s):
5829
-- \tec|Selector1~1_combout\ = (!\tec|Equal23~0_combout\ & (!\tec|Equal133~0_combout\ & ((!\tec|Equal7~1_combout\) # (!\tec|Equal39~0_combout\))))
5830
 
5831
-- pragma translate_off
5832
GENERIC MAP (
5833
        lut_mask => "0000000100010001",
5834
        sum_lutc_input => "datac")
5835
-- pragma translate_on
5836
PORT MAP (
5837
        dataa => \tec|Equal23~0_combout\,
5838
        datab => \tec|Equal133~0_combout\,
5839
        datac => \tec|Equal39~0_combout\,
5840
        datad => \tec|Equal7~1_combout\,
5841
        combout => \tec|Selector1~1_combout\);
5842
 
5843
-- Location: LCCOMB_X18_Y16_N24
5844
\tec|Selector1~2\ : cycloneive_lcell_comb
5845
-- Equation(s):
5846
-- \tec|Selector1~2_combout\ = (\tec|Selector1~0_combout\ & (\tec|Selector3~4_combout\ & (!\tec|Equal119~0_combout\ & \tec|Selector1~1_combout\)))
5847
 
5848
-- pragma translate_off
5849
GENERIC MAP (
5850
        lut_mask => "0000100000000000",
5851
        sum_lutc_input => "datac")
5852
-- pragma translate_on
5853
PORT MAP (
5854
        dataa => \tec|Selector1~0_combout\,
5855
        datab => \tec|Selector3~4_combout\,
5856
        datac => \tec|Equal119~0_combout\,
5857
        datad => \tec|Selector1~1_combout\,
5858
        combout => \tec|Selector1~2_combout\);
5859
 
5860
-- Location: LCCOMB_X16_Y16_N0
5861
\tec|Selector1~35\ : cycloneive_lcell_comb
5862
-- Equation(s):
5863
-- \tec|Selector1~35_combout\ = (\tec|Selector1~7_combout\) # ((\tec|Selector1~12_combout\) # ((\tec|Selector1~34_combout\) # (!\tec|Selector1~2_combout\)))
5864
 
5865
-- pragma translate_off
5866
GENERIC MAP (
5867
        lut_mask => "1111111011111111",
5868
        sum_lutc_input => "datac")
5869
-- pragma translate_on
5870
PORT MAP (
5871
        dataa => \tec|Selector1~7_combout\,
5872
        datab => \tec|Selector1~12_combout\,
5873
        datac => \tec|Selector1~34_combout\,
5874
        datad => \tec|Selector1~2_combout\,
5875
        combout => \tec|Selector1~35_combout\);
5876
 
5877
-- Location: LCCOMB_X17_Y19_N12
5878
\tec|Equal2~1\ : cycloneive_lcell_comb
5879
-- Equation(s):
5880
-- \tec|Equal2~1_combout\ = (!\tec|sm_counter\(4) & !\tec|sm_counter\(3))
5881
 
5882
-- pragma translate_off
5883
GENERIC MAP (
5884
        lut_mask => "0000000000110011",
5885
        sum_lutc_input => "datac")
5886
-- pragma translate_on
5887
PORT MAP (
5888
        datab => \tec|sm_counter\(4),
5889
        datad => \tec|sm_counter\(3),
5890
        combout => \tec|Equal2~1_combout\);
5891
 
5892
-- Location: LCCOMB_X17_Y19_N2
5893
\tec|Equal32~0\ : cycloneive_lcell_comb
5894
-- Equation(s):
5895
-- \tec|Equal32~0_combout\ = (!\tec|sm_counter\(0) & (!\tec|sm_counter\(2) & (!\tec|sm_counter\(1) & \tec|sm_counter\(5))))
5896
 
5897
-- pragma translate_off
5898
GENERIC MAP (
5899
        lut_mask => "0000000100000000",
5900
        sum_lutc_input => "datac")
5901
-- pragma translate_on
5902
PORT MAP (
5903
        dataa => \tec|sm_counter\(0),
5904
        datab => \tec|sm_counter\(2),
5905
        datac => \tec|sm_counter\(1),
5906
        datad => \tec|sm_counter\(5),
5907
        combout => \tec|Equal32~0_combout\);
5908
 
5909
-- Location: LCCOMB_X21_Y12_N2
5910
\tec|Equal0~2\ : cycloneive_lcell_comb
5911
-- Equation(s):
5912
-- \tec|Equal0~2_combout\ = (!\tec|sm_counter\(2) & !\tec|sm_counter\(0))
5913
 
5914
-- pragma translate_off
5915
GENERIC MAP (
5916
        lut_mask => "0000000000001111",
5917
        sum_lutc_input => "datac")
5918
-- pragma translate_on
5919
PORT MAP (
5920
        datac => \tec|sm_counter\(2),
5921
        datad => \tec|sm_counter\(0),
5922
        combout => \tec|Equal0~2_combout\);
5923
 
5924
-- Location: LCCOMB_X17_Y19_N10
5925
\tec|Equal2~0\ : cycloneive_lcell_comb
5926
-- Equation(s):
5927
-- \tec|Equal2~0_combout\ = (\tec|sm_counter\(1) & !\tec|sm_counter\(6))
5928
 
5929
-- pragma translate_off
5930
GENERIC MAP (
5931
        lut_mask => "0000000011110000",
5932
        sum_lutc_input => "datac")
5933
-- pragma translate_on
5934
PORT MAP (
5935
        datac => \tec|sm_counter\(1),
5936
        datad => \tec|sm_counter\(6),
5937
        combout => \tec|Equal2~0_combout\);
5938
 
5939
-- Location: LCCOMB_X21_Y16_N18
5940
\tec|Equal34~0\ : cycloneive_lcell_comb
5941
-- Equation(s):
5942
-- \tec|Equal34~0_combout\ = (\tec|sm_counter\(5) & (\tec|Equal0~2_combout\ & (\tec|Equal2~0_combout\ & \tec|Equal64~0_combout\)))
5943
 
5944
-- pragma translate_off
5945
GENERIC MAP (
5946
        lut_mask => "1000000000000000",
5947
        sum_lutc_input => "datac")
5948
-- pragma translate_on
5949
PORT MAP (
5950
        dataa => \tec|sm_counter\(5),
5951
        datab => \tec|Equal0~2_combout\,
5952
        datac => \tec|Equal2~0_combout\,
5953
        datad => \tec|Equal64~0_combout\,
5954
        combout => \tec|Equal34~0_combout\);
5955
 
5956
-- Location: LCCOMB_X17_Y19_N28
5957
\tec|Selector3~6\ : cycloneive_lcell_comb
5958
-- Equation(s):
5959
-- \tec|Selector3~6_combout\ = (\tec|Equal2~1_combout\ & (!\tec|Equal34~0_combout\ & ((!\tec|Equal0~0_combout\) # (!\tec|Equal32~0_combout\)))) # (!\tec|Equal2~1_combout\ & (((!\tec|Equal0~0_combout\)) # (!\tec|Equal32~0_combout\)))
5960
 
5961
-- pragma translate_off
5962
GENERIC MAP (
5963
        lut_mask => "0001010100111111",
5964
        sum_lutc_input => "datac")
5965
-- pragma translate_on
5966
PORT MAP (
5967
        dataa => \tec|Equal2~1_combout\,
5968
        datab => \tec|Equal32~0_combout\,
5969
        datac => \tec|Equal0~0_combout\,
5970
        datad => \tec|Equal34~0_combout\,
5971
        combout => \tec|Selector3~6_combout\);
5972
 
5973
-- Location: LCCOMB_X16_Y17_N24
5974
\tec|Equal36~0\ : cycloneive_lcell_comb
5975
-- Equation(s):
5976
-- \tec|Equal36~0_combout\ = (!\tec|sm_counter\(3) & (\tec|Equal4~0_combout\ & (\tec|sm_counter\(5) & !\tec|sm_counter\(1))))
5977
 
5978
-- pragma translate_off
5979
GENERIC MAP (
5980
        lut_mask => "0000000001000000",
5981
        sum_lutc_input => "datac")
5982
-- pragma translate_on
5983
PORT MAP (
5984
        dataa => \tec|sm_counter\(3),
5985
        datab => \tec|Equal4~0_combout\,
5986
        datac => \tec|sm_counter\(5),
5987
        datad => \tec|sm_counter\(1),
5988
        combout => \tec|Equal36~0_combout\);
5989
 
5990
-- Location: LCCOMB_X18_Y17_N6
5991
\tec|Equal70~0\ : cycloneive_lcell_comb
5992
-- Equation(s):
5993
-- \tec|Equal70~0_combout\ = (\tec|sm_counter\(2) & (\tec|sm_counter\(1) & !\tec|sm_counter\(0)))
5994
 
5995
-- pragma translate_off
5996
GENERIC MAP (
5997
        lut_mask => "0000100000001000",
5998
        sum_lutc_input => "datac")
5999
-- pragma translate_on
6000
PORT MAP (
6001
        dataa => \tec|sm_counter\(2),
6002
        datab => \tec|sm_counter\(1),
6003
        datac => \tec|sm_counter\(0),
6004
        combout => \tec|Equal70~0_combout\);
6005
 
6006
-- Location: LCCOMB_X17_Y10_N10
6007
\tec|Equal112~0\ : cycloneive_lcell_comb
6008
-- Equation(s):
6009
-- \tec|Equal112~0_combout\ = (\tec|sm_counter\(5) & (\tec|Equal64~1_combout\ & (\tec|sm_counter\(4) & \tec|Equal64~0_combout\)))
6010
 
6011
-- pragma translate_off
6012
GENERIC MAP (
6013
        lut_mask => "1000000000000000",
6014
        sum_lutc_input => "datac")
6015
-- pragma translate_on
6016
PORT MAP (
6017
        dataa => \tec|sm_counter\(5),
6018
        datab => \tec|Equal64~1_combout\,
6019
        datac => \tec|sm_counter\(4),
6020
        datad => \tec|Equal64~0_combout\,
6021
        combout => \tec|Equal112~0_combout\);
6022
 
6023
-- Location: LCCOMB_X21_Y12_N0
6024
\tec|Equal22~0\ : cycloneive_lcell_comb
6025
-- Equation(s):
6026
-- \tec|Equal22~0_combout\ = (\tec|sm_counter\(2) & !\tec|sm_counter\(0))
6027
 
6028
-- pragma translate_off
6029
GENERIC MAP (
6030
        lut_mask => "0000000011110000",
6031
        sum_lutc_input => "datac")
6032
-- pragma translate_on
6033
PORT MAP (
6034
        datac => \tec|sm_counter\(2),
6035
        datad => \tec|sm_counter\(0),
6036
        combout => \tec|Equal22~0_combout\);
6037
 
6038
-- Location: LCCOMB_X21_Y16_N8
6039
\tec|Equal30~0\ : cycloneive_lcell_comb
6040
-- Equation(s):
6041
-- \tec|Equal30~0_combout\ = (\tec|Equal64~0_combout\ & (\tec|Equal27~0_combout\ & (\tec|Equal2~0_combout\ & \tec|Equal22~0_combout\)))
6042
 
6043
-- pragma translate_off
6044
GENERIC MAP (
6045
        lut_mask => "1000000000000000",
6046
        sum_lutc_input => "datac")
6047
-- pragma translate_on
6048
PORT MAP (
6049
        dataa => \tec|Equal64~0_combout\,
6050
        datab => \tec|Equal27~0_combout\,
6051
        datac => \tec|Equal2~0_combout\,
6052
        datad => \tec|Equal22~0_combout\,
6053
        combout => \tec|Equal30~0_combout\);
6054
 
6055
-- Location: LCCOMB_X16_Y17_N22
6056
\tec|Selector3~5\ : cycloneive_lcell_comb
6057
-- Equation(s):
6058
-- \tec|Selector3~5_combout\ = (\tec|Equal70~0_combout\ & (!\tec|Equal112~0_combout\ & ((\tec|sm_counter\(5)) # (!\tec|Equal30~0_combout\)))) # (!\tec|Equal70~0_combout\ & ((\tec|sm_counter\(5)) # ((!\tec|Equal30~0_combout\))))
6059
 
6060
-- pragma translate_off
6061
GENERIC MAP (
6062
        lut_mask => "0100110001011111",
6063
        sum_lutc_input => "datac")
6064
-- pragma translate_on
6065
PORT MAP (
6066
        dataa => \tec|Equal70~0_combout\,
6067
        datab => \tec|sm_counter\(5),
6068
        datac => \tec|Equal112~0_combout\,
6069
        datad => \tec|Equal30~0_combout\,
6070
        combout => \tec|Selector3~5_combout\);
6071
 
6072
-- Location: LCCOMB_X19_Y17_N12
6073
\tec|Equal24~4\ : cycloneive_lcell_comb
6074
-- Equation(s):
6075
-- \tec|Equal24~4_combout\ = (\tec|sm_counter\(4) & (!\tec|sm_counter\(0) & (\tec|Equal64~0_combout\ & \tec|sm_counter\(3))))
6076
 
6077
-- pragma translate_off
6078
GENERIC MAP (
6079
        lut_mask => "0010000000000000",
6080
        sum_lutc_input => "datac")
6081
-- pragma translate_on
6082
PORT MAP (
6083
        dataa => \tec|sm_counter\(4),
6084
        datab => \tec|sm_counter\(0),
6085
        datac => \tec|Equal64~0_combout\,
6086
        datad => \tec|sm_counter\(3),
6087
        combout => \tec|Equal24~4_combout\);
6088
 
6089
-- Location: LCCOMB_X19_Y17_N24
6090
\tec|Equal138~0\ : cycloneive_lcell_comb
6091
-- Equation(s):
6092
-- \tec|Equal138~0_combout\ = (!\tec|sm_counter\(0) & \tec|sm_counter\(1))
6093
 
6094
-- pragma translate_off
6095
GENERIC MAP (
6096
        lut_mask => "0011001100000000",
6097
        sum_lutc_input => "datac")
6098
-- pragma translate_on
6099
PORT MAP (
6100
        datab => \tec|sm_counter\(0),
6101
        datad => \tec|sm_counter\(1),
6102
        combout => \tec|Equal138~0_combout\);
6103
 
6104
-- Location: LCCOMB_X19_Y17_N26
6105
\tec|Equal24~3\ : cycloneive_lcell_comb
6106
-- Equation(s):
6107
-- \tec|Equal24~3_combout\ = (!\tec|sm_counter\(1) & (!\tec|sm_counter\(2) & (!\tec|sm_counter\(5) & !\tec|sm_counter\(6))))
6108
 
6109
-- pragma translate_off
6110
GENERIC MAP (
6111
        lut_mask => "0000000000000001",
6112
        sum_lutc_input => "datac")
6113
-- pragma translate_on
6114
PORT MAP (
6115
        dataa => \tec|sm_counter\(1),
6116
        datab => \tec|sm_counter\(2),
6117
        datac => \tec|sm_counter\(5),
6118
        datad => \tec|sm_counter\(6),
6119
        combout => \tec|Equal24~3_combout\);
6120
 
6121
-- Location: LCCOMB_X19_Y17_N20
6122
\tec|Selector3~7\ : cycloneive_lcell_comb
6123
-- Equation(s):
6124
-- \tec|Selector3~7_combout\ = (\tec|Equal24~4_combout\ & (!\tec|Equal24~3_combout\ & ((!\tec|Equal136~1_combout\) # (!\tec|Equal138~0_combout\)))) # (!\tec|Equal24~4_combout\ & (((!\tec|Equal136~1_combout\)) # (!\tec|Equal138~0_combout\)))
6125
 
6126
-- pragma translate_off
6127
GENERIC MAP (
6128
        lut_mask => "0001001101011111",
6129
        sum_lutc_input => "datac")
6130
-- pragma translate_on
6131
PORT MAP (
6132
        dataa => \tec|Equal24~4_combout\,
6133
        datab => \tec|Equal138~0_combout\,
6134
        datac => \tec|Equal24~3_combout\,
6135
        datad => \tec|Equal136~1_combout\,
6136
        combout => \tec|Selector3~7_combout\);
6137
 
6138
-- Location: LCCOMB_X16_Y17_N10
6139
\tec|Selector3~8\ : cycloneive_lcell_comb
6140
-- Equation(s):
6141
-- \tec|Selector3~8_combout\ = (\tec|Selector3~6_combout\ & (!\tec|Equal36~0_combout\ & (\tec|Selector3~5_combout\ & \tec|Selector3~7_combout\)))
6142
 
6143
-- pragma translate_off
6144
GENERIC MAP (
6145
        lut_mask => "0010000000000000",
6146
        sum_lutc_input => "datac")
6147
-- pragma translate_on
6148
PORT MAP (
6149
        dataa => \tec|Selector3~6_combout\,
6150
        datab => \tec|Equal36~0_combout\,
6151
        datac => \tec|Selector3~5_combout\,
6152
        datad => \tec|Selector3~7_combout\,
6153
        combout => \tec|Selector3~8_combout\);
6154
 
6155
-- Location: LCCOMB_X18_Y17_N14
6156
\tec|Equal59~0\ : cycloneive_lcell_comb
6157
-- Equation(s):
6158
-- \tec|Equal59~0_combout\ = (\tec|Equal2~4_combout\ & (\tec|Equal27~0_combout\ & (!\tec|sm_counter\(2) & \tec|Equal37~0_combout\)))
6159
 
6160
-- pragma translate_off
6161
GENERIC MAP (
6162
        lut_mask => "0000100000000000",
6163
        sum_lutc_input => "datac")
6164
-- pragma translate_on
6165
PORT MAP (
6166
        dataa => \tec|Equal2~4_combout\,
6167
        datab => \tec|Equal27~0_combout\,
6168
        datac => \tec|sm_counter\(2),
6169
        datad => \tec|Equal37~0_combout\,
6170
        combout => \tec|Equal59~0_combout\);
6171
 
6172
-- Location: LCCOMB_X18_Y17_N28
6173
\tec|Equal128~3\ : cycloneive_lcell_comb
6174
-- Equation(s):
6175
-- \tec|Equal128~3_combout\ = (!\tec|sm_counter\(3) & \tec|Equal128~1_combout\)
6176
 
6177
-- pragma translate_off
6178
GENERIC MAP (
6179
        lut_mask => "0101010100000000",
6180
        sum_lutc_input => "datac")
6181
-- pragma translate_on
6182
PORT MAP (
6183
        dataa => \tec|sm_counter\(3),
6184
        datad => \tec|Equal128~1_combout\,
6185
        combout => \tec|Equal128~3_combout\);
6186
 
6187
-- Location: LCCOMB_X18_Y17_N24
6188
\tec|WideOr6~2\ : cycloneive_lcell_comb
6189
-- Equation(s):
6190
-- \tec|WideOr6~2_combout\ = (!\tec|Equal59~0_combout\ & (((!\tec|Equal128~3_combout\) # (!\tec|Equal7~0_combout\)) # (!\tec|sm_counter\(2))))
6191
 
6192
-- pragma translate_off
6193
GENERIC MAP (
6194
        lut_mask => "0001001100110011",
6195
        sum_lutc_input => "datac")
6196
-- pragma translate_on
6197
PORT MAP (
6198
        dataa => \tec|sm_counter\(2),
6199
        datab => \tec|Equal59~0_combout\,
6200
        datac => \tec|Equal7~0_combout\,
6201
        datad => \tec|Equal128~3_combout\,
6202
        combout => \tec|WideOr6~2_combout\);
6203
 
6204
-- Location: LCCOMB_X17_Y19_N8
6205
\tec|Equal3~0\ : cycloneive_lcell_comb
6206
-- Equation(s):
6207
-- \tec|Equal3~0_combout\ = (\tec|sm_counter\(0) & (\tec|Equal1~0_combout\ & (\tec|Equal64~0_combout\ & \tec|Equal2~0_combout\)))
6208
 
6209
-- pragma translate_off
6210
GENERIC MAP (
6211
        lut_mask => "1000000000000000",
6212
        sum_lutc_input => "datac")
6213
-- pragma translate_on
6214
PORT MAP (
6215
        dataa => \tec|sm_counter\(0),
6216
        datab => \tec|Equal1~0_combout\,
6217
        datac => \tec|Equal64~0_combout\,
6218
        datad => \tec|Equal2~0_combout\,
6219
        combout => \tec|Equal3~0_combout\);
6220
 
6221
-- Location: LCCOMB_X18_Y16_N8
6222
\tec|WideOr6~1\ : cycloneive_lcell_comb
6223
-- Equation(s):
6224
-- \tec|WideOr6~1_combout\ = (\tec|Equal19~0_combout\ & (!\tec|Equal3~0_combout\ & ((!\tec|Equal8~2_combout\) # (!\tec|Equal37~0_combout\)))) # (!\tec|Equal19~0_combout\ & (((!\tec|Equal8~2_combout\) # (!\tec|Equal37~0_combout\))))
6225
 
6226
-- pragma translate_off
6227
GENERIC MAP (
6228
        lut_mask => "0000011101110111",
6229
        sum_lutc_input => "datac")
6230
-- pragma translate_on
6231
PORT MAP (
6232
        dataa => \tec|Equal19~0_combout\,
6233
        datab => \tec|Equal3~0_combout\,
6234
        datac => \tec|Equal37~0_combout\,
6235
        datad => \tec|Equal8~2_combout\,
6236
        combout => \tec|WideOr6~1_combout\);
6237
 
6238
-- Location: LCCOMB_X16_Y9_N10
6239
\tec|Equal88~2\ : cycloneive_lcell_comb
6240
-- Equation(s):
6241
-- \tec|Equal88~2_combout\ = (!\tec|sm_counter\(5) & (!\tec|sm_counter\(7) & (\tec|sm_counter\(6) & \tec|Equal24~2_combout\)))
6242
 
6243
-- pragma translate_off
6244
GENERIC MAP (
6245
        lut_mask => "0001000000000000",
6246
        sum_lutc_input => "datac")
6247
-- pragma translate_on
6248
PORT MAP (
6249
        dataa => \tec|sm_counter\(5),
6250
        datab => \tec|sm_counter\(7),
6251
        datac => \tec|sm_counter\(6),
6252
        datad => \tec|Equal24~2_combout\,
6253
        combout => \tec|Equal88~2_combout\);
6254
 
6255
-- Location: LCCOMB_X16_Y9_N4
6256
\tec|Equal88~3\ : cycloneive_lcell_comb
6257
-- Equation(s):
6258
-- \tec|Equal88~3_combout\ = (\tec|Equal27~0_combout\ & (\tec|Equal88~2_combout\ & (\tec|Equal142~5_combout\ & \tec|Equal142~0_combout\)))
6259
 
6260
-- pragma translate_off
6261
GENERIC MAP (
6262
        lut_mask => "1000000000000000",
6263
        sum_lutc_input => "datac")
6264
-- pragma translate_on
6265
PORT MAP (
6266
        dataa => \tec|Equal27~0_combout\,
6267
        datab => \tec|Equal88~2_combout\,
6268
        datac => \tec|Equal142~5_combout\,
6269
        datad => \tec|Equal142~0_combout\,
6270
        combout => \tec|Equal88~3_combout\);
6271
 
6272
-- Location: LCCOMB_X16_Y15_N2
6273
\tec|WideOr6~0\ : cycloneive_lcell_comb
6274
-- Equation(s):
6275
-- \tec|WideOr6~0_combout\ = (\tec|Equal77~0_combout\ & (!\tec|Equal76~1_combout\ & ((!\tec|Equal71~0_combout\) # (!\tec|Equal88~3_combout\)))) # (!\tec|Equal77~0_combout\ & (((!\tec|Equal71~0_combout\) # (!\tec|Equal88~3_combout\))))
6276
 
6277
-- pragma translate_off
6278
GENERIC MAP (
6279
        lut_mask => "0000011101110111",
6280
        sum_lutc_input => "datac")
6281
-- pragma translate_on
6282
PORT MAP (
6283
        dataa => \tec|Equal77~0_combout\,
6284
        datab => \tec|Equal76~1_combout\,
6285
        datac => \tec|Equal88~3_combout\,
6286
        datad => \tec|Equal71~0_combout\,
6287
        combout => \tec|WideOr6~0_combout\);
6288
 
6289
-- Location: LCCOMB_X18_Y17_N10
6290
\tec|Equal113~2\ : cycloneive_lcell_comb
6291
-- Equation(s):
6292
-- \tec|Equal113~2_combout\ = (!\tec|sm_counter\(2) & (\tec|sm_counter\(5) & (\tec|sm_counter\(0) & !\tec|sm_counter\(1))))
6293
 
6294
-- pragma translate_off
6295
GENERIC MAP (
6296
        lut_mask => "0000000001000000",
6297
        sum_lutc_input => "datac")
6298
-- pragma translate_on
6299
PORT MAP (
6300
        dataa => \tec|sm_counter\(2),
6301
        datab => \tec|sm_counter\(5),
6302
        datac => \tec|sm_counter\(0),
6303
        datad => \tec|sm_counter\(1),
6304
        combout => \tec|Equal113~2_combout\);
6305
 
6306
-- Location: LCCOMB_X18_Y17_N8
6307
\tec|Equal128~2\ : cycloneive_lcell_comb
6308
-- Equation(s):
6309
-- \tec|Equal128~2_combout\ = (!\tec|sm_counter\(1) & !\tec|sm_counter\(0))
6310
 
6311
-- pragma translate_off
6312
GENERIC MAP (
6313
        lut_mask => "0000001100000011",
6314
        sum_lutc_input => "datac")
6315
-- pragma translate_on
6316
PORT MAP (
6317
        datab => \tec|sm_counter\(1),
6318
        datac => \tec|sm_counter\(0),
6319
        combout => \tec|Equal128~2_combout\);
6320
 
6321
-- Location: LCCOMB_X18_Y17_N12
6322
\tec|WideOr6~3\ : cycloneive_lcell_comb
6323
-- Equation(s):
6324
-- \tec|WideOr6~3_combout\ = (\tec|Equal113~2_combout\ & (!\tec|Equal113~3_combout\ & ((!\tec|Equal136~1_combout\) # (!\tec|Equal128~2_combout\)))) # (!\tec|Equal113~2_combout\ & (((!\tec|Equal136~1_combout\)) # (!\tec|Equal128~2_combout\)))
6325
 
6326
-- pragma translate_off
6327
GENERIC MAP (
6328
        lut_mask => "0001001101011111",
6329
        sum_lutc_input => "datac")
6330
-- pragma translate_on
6331
PORT MAP (
6332
        dataa => \tec|Equal113~2_combout\,
6333
        datab => \tec|Equal128~2_combout\,
6334
        datac => \tec|Equal113~3_combout\,
6335
        datad => \tec|Equal136~1_combout\,
6336
        combout => \tec|WideOr6~3_combout\);
6337
 
6338
-- Location: LCCOMB_X18_Y16_N2
6339
\tec|WideOr6~4\ : cycloneive_lcell_comb
6340
-- Equation(s):
6341
-- \tec|WideOr6~4_combout\ = (\tec|WideOr6~2_combout\ & (\tec|WideOr6~1_combout\ & (\tec|WideOr6~0_combout\ & \tec|WideOr6~3_combout\)))
6342
 
6343
-- pragma translate_off
6344
GENERIC MAP (
6345
        lut_mask => "1000000000000000",
6346
        sum_lutc_input => "datac")
6347
-- pragma translate_on
6348
PORT MAP (
6349
        dataa => \tec|WideOr6~2_combout\,
6350
        datab => \tec|WideOr6~1_combout\,
6351
        datac => \tec|WideOr6~0_combout\,
6352
        datad => \tec|WideOr6~3_combout\,
6353
        combout => \tec|WideOr6~4_combout\);
6354
 
6355
-- Location: LCCOMB_X18_Y16_N22
6356
\tec|WideNor0~28\ : cycloneive_lcell_comb
6357
-- Equation(s):
6358
-- \tec|WideNor0~28_combout\ = (\tec|Equal64~3_combout\ & (!\tec|sm_counter\(2) & ((\tec|Equal7~0_combout\) # (\tec|Equal1~2_combout\))))
6359
 
6360
-- pragma translate_off
6361
GENERIC MAP (
6362
        lut_mask => "0000000010101000",
6363
        sum_lutc_input => "datac")
6364
-- pragma translate_on
6365
PORT MAP (
6366
        dataa => \tec|Equal64~3_combout\,
6367
        datab => \tec|Equal7~0_combout\,
6368
        datac => \tec|Equal1~2_combout\,
6369
        datad => \tec|sm_counter\(2),
6370
        combout => \tec|WideNor0~28_combout\);
6371
 
6372
-- Location: LCCOMB_X16_Y17_N2
6373
\tec|WideNor0~34\ : cycloneive_lcell_comb
6374
-- Equation(s):
6375
-- \tec|WideNor0~34_combout\ = (\tec|sm_counter\(0) & (\tec|sm_counter\(3) & (\tec|sm_counter\(5) & \tec|Equal7~1_combout\)))
6376
 
6377
-- pragma translate_off
6378
GENERIC MAP (
6379
        lut_mask => "1000000000000000",
6380
        sum_lutc_input => "datac")
6381
-- pragma translate_on
6382
PORT MAP (
6383
        dataa => \tec|sm_counter\(0),
6384
        datab => \tec|sm_counter\(3),
6385
        datac => \tec|sm_counter\(5),
6386
        datad => \tec|Equal7~1_combout\,
6387
        combout => \tec|WideNor0~34_combout\);
6388
 
6389
-- Location: LCCOMB_X18_Y16_N12
6390
\tec|WideNor0~29\ : cycloneive_lcell_comb
6391
-- Equation(s):
6392
-- \tec|WideNor0~29_combout\ = (((\tec|WideNor0~28_combout\) # (\tec|WideNor0~34_combout\)) # (!\tec|WideOr6~4_combout\)) # (!\tec|Selector3~8_combout\)
6393
 
6394
-- pragma translate_off
6395
GENERIC MAP (
6396
        lut_mask => "1111111111110111",
6397
        sum_lutc_input => "datac")
6398
-- pragma translate_on
6399
PORT MAP (
6400
        dataa => \tec|Selector3~8_combout\,
6401
        datab => \tec|WideOr6~4_combout\,
6402
        datac => \tec|WideNor0~28_combout\,
6403
        datad => \tec|WideNor0~34_combout\,
6404
        combout => \tec|WideNor0~29_combout\);
6405
 
6406
-- Location: LCCOMB_X19_Y12_N2
6407
\tec|WideNor0~17\ : cycloneive_lcell_comb
6408
-- Equation(s):
6409
-- \tec|WideNor0~17_combout\ = (\tec|sm_counter\(1) & (!\tec|sm_counter\(7) & \tec|sm_counter\(6))) # (!\tec|sm_counter\(1) & (\tec|sm_counter\(7) & !\tec|sm_counter\(6)))
6410
 
6411
-- pragma translate_off
6412
GENERIC MAP (
6413
        lut_mask => "0000110000110000",
6414
        sum_lutc_input => "datac")
6415
-- pragma translate_on
6416
PORT MAP (
6417
        datab => \tec|sm_counter\(1),
6418
        datac => \tec|sm_counter\(7),
6419
        datad => \tec|sm_counter\(6),
6420
        combout => \tec|WideNor0~17_combout\);
6421
 
6422
-- Location: LCCOMB_X19_Y12_N30
6423
\tec|WideNor0~18\ : cycloneive_lcell_comb
6424
-- Equation(s):
6425
-- \tec|WideNor0~18_combout\ = (!\tec|sm_counter\(5) & (\tec|WideNor0~17_combout\ & (!\tec|sm_counter\(2) & \tec|Equal10~0_combout\)))
6426
 
6427
-- pragma translate_off
6428
GENERIC MAP (
6429
        lut_mask => "0000010000000000",
6430
        sum_lutc_input => "datac")
6431
-- pragma translate_on
6432
PORT MAP (
6433
        dataa => \tec|sm_counter\(5),
6434
        datab => \tec|WideNor0~17_combout\,
6435
        datac => \tec|sm_counter\(2),
6436
        datad => \tec|Equal10~0_combout\,
6437
        combout => \tec|WideNor0~18_combout\);
6438
 
6439
-- Location: LCCOMB_X19_Y12_N12
6440
\tec|WideNor0~21\ : cycloneive_lcell_comb
6441
-- Equation(s):
6442
-- \tec|WideNor0~21_combout\ = (\tec|sm_counter\(4) & (((!\tec|sm_counter\(2) & \tec|sm_counter\(3))) # (!\tec|sm_counter\(5)))) # (!\tec|sm_counter\(4) & (\tec|sm_counter\(2) & (!\tec|sm_counter\(3) & !\tec|sm_counter\(5))))
6443
 
6444
-- pragma translate_off
6445
GENERIC MAP (
6446
        lut_mask => "0010000010101110",
6447
        sum_lutc_input => "datac")
6448
-- pragma translate_on
6449
PORT MAP (
6450
        dataa => \tec|sm_counter\(4),
6451
        datab => \tec|sm_counter\(2),
6452
        datac => \tec|sm_counter\(3),
6453
        datad => \tec|sm_counter\(5),
6454
        combout => \tec|WideNor0~21_combout\);
6455
 
6456
-- Location: LCCOMB_X19_Y12_N14
6457
\tec|WideNor0~22\ : cycloneive_lcell_comb
6458
-- Equation(s):
6459
-- \tec|WideNor0~22_combout\ = (!\tec|sm_counter\(1) & \tec|WideNor0~21_combout\)
6460
 
6461
-- pragma translate_off
6462
GENERIC MAP (
6463
        lut_mask => "0000111100000000",
6464
        sum_lutc_input => "datac")
6465
-- pragma translate_on
6466
PORT MAP (
6467
        datac => \tec|sm_counter\(1),
6468
        datad => \tec|WideNor0~21_combout\,
6469
        combout => \tec|WideNor0~22_combout\);
6470
 
6471
-- Location: LCCOMB_X19_Y12_N18
6472
\tec|WideNor0~20\ : cycloneive_lcell_comb
6473
-- Equation(s):
6474
-- \tec|WideNor0~20_combout\ = (\tec|sm_counter\(4) & (\tec|sm_counter\(2) & (\tec|sm_counter\(3) & !\tec|sm_counter\(5)))) # (!\tec|sm_counter\(4) & (!\tec|sm_counter\(2) & (!\tec|sm_counter\(3) & \tec|sm_counter\(5))))
6475
 
6476
-- pragma translate_off
6477
GENERIC MAP (
6478
        lut_mask => "0000000110000000",
6479
        sum_lutc_input => "datac")
6480
-- pragma translate_on
6481
PORT MAP (
6482
        dataa => \tec|sm_counter\(4),
6483
        datab => \tec|sm_counter\(2),
6484
        datac => \tec|sm_counter\(3),
6485
        datad => \tec|sm_counter\(5),
6486
        combout => \tec|WideNor0~20_combout\);
6487
 
6488
-- Location: LCCOMB_X19_Y12_N16
6489
\tec|WideNor0~23\ : cycloneive_lcell_comb
6490
-- Equation(s):
6491
-- \tec|WideNor0~23_combout\ = (!\tec|sm_counter\(7) & ((\tec|sm_counter\(6) & (\tec|WideNor0~22_combout\ & \tec|WideNor0~20_combout\)) # (!\tec|sm_counter\(6) & ((\tec|WideNor0~22_combout\) # (\tec|WideNor0~20_combout\)))))
6492
 
6493
-- pragma translate_off
6494
GENERIC MAP (
6495
        lut_mask => "0000110100000100",
6496
        sum_lutc_input => "datac")
6497
-- pragma translate_on
6498
PORT MAP (
6499
        dataa => \tec|sm_counter\(6),
6500
        datab => \tec|WideNor0~22_combout\,
6501
        datac => \tec|sm_counter\(7),
6502
        datad => \tec|WideNor0~20_combout\,
6503
        combout => \tec|WideNor0~23_combout\);
6504
 
6505
-- Location: LCCOMB_X19_Y12_N0
6506
\tec|WideNor0~19\ : cycloneive_lcell_comb
6507
-- Equation(s):
6508
-- \tec|WideNor0~19_combout\ = (\tec|sm_counter\(5) & ((\tec|sm_counter\(2) & ((\tec|sm_counter\(3)))) # (!\tec|sm_counter\(2) & (\tec|sm_counter\(4) & !\tec|sm_counter\(3)))))
6509
 
6510
-- pragma translate_off
6511
GENERIC MAP (
6512
        lut_mask => "1100001000000000",
6513
        sum_lutc_input => "datac")
6514
-- pragma translate_on
6515
PORT MAP (
6516
        dataa => \tec|sm_counter\(4),
6517
        datab => \tec|sm_counter\(2),
6518
        datac => \tec|sm_counter\(3),
6519
        datad => \tec|sm_counter\(5),
6520
        combout => \tec|WideNor0~19_combout\);
6521
 
6522
-- Location: LCCOMB_X19_Y12_N20
6523
\tec|WideNor0~33\ : cycloneive_lcell_comb
6524
-- Equation(s):
6525
-- \tec|WideNor0~33_combout\ = (\tec|sm_counter\(6) & (\tec|sm_counter\(1) & (!\tec|sm_counter\(7) & \tec|WideNor0~19_combout\)))
6526
 
6527
-- pragma translate_off
6528
GENERIC MAP (
6529
        lut_mask => "0000100000000000",
6530
        sum_lutc_input => "datac")
6531
-- pragma translate_on
6532
PORT MAP (
6533
        dataa => \tec|sm_counter\(6),
6534
        datab => \tec|sm_counter\(1),
6535
        datac => \tec|sm_counter\(7),
6536
        datad => \tec|WideNor0~19_combout\,
6537
        combout => \tec|WideNor0~33_combout\);
6538
 
6539
-- Location: LCCOMB_X19_Y12_N26
6540
\tec|WideNor0~24\ : cycloneive_lcell_comb
6541
-- Equation(s):
6542
-- \tec|WideNor0~24_combout\ = (\tec|sm_counter\(0) & ((\tec|WideNor0~18_combout\) # ((\tec|WideNor0~23_combout\) # (\tec|WideNor0~33_combout\))))
6543
 
6544
-- pragma translate_off
6545
GENERIC MAP (
6546
        lut_mask => "1111000011100000",
6547
        sum_lutc_input => "datac")
6548
-- pragma translate_on
6549
PORT MAP (
6550
        dataa => \tec|WideNor0~18_combout\,
6551
        datab => \tec|WideNor0~23_combout\,
6552
        datac => \tec|sm_counter\(0),
6553
        datad => \tec|WideNor0~33_combout\,
6554
        combout => \tec|WideNor0~24_combout\);
6555
 
6556
-- Location: LCCOMB_X21_Y9_N2
6557
\tec|WideNor0~25\ : cycloneive_lcell_comb
6558
-- Equation(s):
6559
-- \tec|WideNor0~25_combout\ = (\tec|WideNor0~24_combout\ & (\tec|Equal142~0_combout\ & (\tec|Equal24~2_combout\ & \tec|Equal142~5_combout\)))
6560
 
6561
-- pragma translate_off
6562
GENERIC MAP (
6563
        lut_mask => "1000000000000000",
6564
        sum_lutc_input => "datac")
6565
-- pragma translate_on
6566
PORT MAP (
6567
        dataa => \tec|WideNor0~24_combout\,
6568
        datab => \tec|Equal142~0_combout\,
6569
        datac => \tec|Equal24~2_combout\,
6570
        datad => \tec|Equal142~5_combout\,
6571
        combout => \tec|WideNor0~25_combout\);
6572
 
6573
-- Location: LCCOMB_X17_Y13_N28
6574
\tec|WideNor0~32\ : cycloneive_lcell_comb
6575
-- Equation(s):
6576
-- \tec|WideNor0~32_combout\ = (\tec|sm_counter\(5) & (\tec|sm_counter\(0) & (\tec|Equal16~0_combout\ & \tec|Equal64~0_combout\)))
6577
 
6578
-- pragma translate_off
6579
GENERIC MAP (
6580
        lut_mask => "1000000000000000",
6581
        sum_lutc_input => "datac")
6582
-- pragma translate_on
6583
PORT MAP (
6584
        dataa => \tec|sm_counter\(5),
6585
        datab => \tec|sm_counter\(0),
6586
        datac => \tec|Equal16~0_combout\,
6587
        datad => \tec|Equal64~0_combout\,
6588
        combout => \tec|WideNor0~32_combout\);
6589
 
6590
-- Location: LCCOMB_X17_Y13_N22
6591
\tec|WideNor0~16\ : cycloneive_lcell_comb
6592
-- Equation(s):
6593
-- \tec|WideNor0~16_combout\ = (\tec|WideNor0~32_combout\) # ((\tec|sm_counter\(2) & (\tec|sm_counter\(0) & \tec|Equal56~3_combout\)))
6594
 
6595
-- pragma translate_off
6596
GENERIC MAP (
6597
        lut_mask => "1111111110000000",
6598
        sum_lutc_input => "datac")
6599
-- pragma translate_on
6600
PORT MAP (
6601
        dataa => \tec|sm_counter\(2),
6602
        datab => \tec|sm_counter\(0),
6603
        datac => \tec|Equal56~3_combout\,
6604
        datad => \tec|WideNor0~32_combout\,
6605
        combout => \tec|WideNor0~16_combout\);
6606
 
6607
-- Location: LCCOMB_X17_Y13_N26
6608
\tec|WideNor0~26\ : cycloneive_lcell_comb
6609
-- Equation(s):
6610
-- \tec|WideNor0~26_combout\ = (\tec|WideNor0~25_combout\) # ((\tec|Equal63~0_combout\) # ((\tec|WideNor0~16_combout\) # (\tec|Equal43~0_combout\)))
6611
 
6612
-- pragma translate_off
6613
GENERIC MAP (
6614
        lut_mask => "1111111111111110",
6615
        sum_lutc_input => "datac")
6616
-- pragma translate_on
6617
PORT MAP (
6618
        dataa => \tec|WideNor0~25_combout\,
6619
        datab => \tec|Equal63~0_combout\,
6620
        datac => \tec|WideNor0~16_combout\,
6621
        datad => \tec|Equal43~0_combout\,
6622
        combout => \tec|WideNor0~26_combout\);
6623
 
6624
-- Location: LCCOMB_X16_Y15_N30
6625
\tec|WideNor0~11\ : cycloneive_lcell_comb
6626
-- Equation(s):
6627
-- \tec|WideNor0~11_combout\ = (\tec|Equal7~2_combout\ & ((\tec|Equal76~1_combout\) # ((\tec|Equal89~0_combout\)))) # (!\tec|Equal7~2_combout\ & (((\tec|Equal77~0_combout\ & \tec|Equal89~0_combout\))))
6628
 
6629
-- pragma translate_off
6630
GENERIC MAP (
6631
        lut_mask => "1111101010001000",
6632
        sum_lutc_input => "datac")
6633
-- pragma translate_on
6634
PORT MAP (
6635
        dataa => \tec|Equal7~2_combout\,
6636
        datab => \tec|Equal76~1_combout\,
6637
        datac => \tec|Equal77~0_combout\,
6638
        datad => \tec|Equal89~0_combout\,
6639
        combout => \tec|WideNor0~11_combout\);
6640
 
6641
-- Location: LCCOMB_X18_Y14_N2
6642
\tec|WideNor0~10\ : cycloneive_lcell_comb
6643
-- Equation(s):
6644
-- \tec|WideNor0~10_combout\ = (\tec|Equal64~3_combout\ & (((\tec|Equal69~0_combout\) # (\tec|Equal71~0_combout\)))) # (!\tec|Equal64~3_combout\ & (\tec|Equal80~0_combout\ & ((\tec|Equal69~0_combout\) # (\tec|Equal71~0_combout\))))
6645
 
6646
-- pragma translate_off
6647
GENERIC MAP (
6648
        lut_mask => "1110111011100000",
6649
        sum_lutc_input => "datac")
6650
-- pragma translate_on
6651
PORT MAP (
6652
        dataa => \tec|Equal64~3_combout\,
6653
        datab => \tec|Equal80~0_combout\,
6654
        datac => \tec|Equal69~0_combout\,
6655
        datad => \tec|Equal71~0_combout\,
6656
        combout => \tec|WideNor0~10_combout\);
6657
 
6658
-- Location: LCCOMB_X18_Y14_N14
6659
\tec|WideNor0~9\ : cycloneive_lcell_comb
6660
-- Equation(s):
6661
-- \tec|WideNor0~9_combout\ = (!\tec|sm_counter\(2) & (\tec|Equal80~0_combout\ & ((\tec|Equal7~0_combout\) # (\tec|Equal1~2_combout\))))
6662
 
6663
-- pragma translate_off
6664
GENERIC MAP (
6665
        lut_mask => "0011000000100000",
6666
        sum_lutc_input => "datac")
6667
-- pragma translate_on
6668
PORT MAP (
6669
        dataa => \tec|Equal7~0_combout\,
6670
        datab => \tec|sm_counter\(2),
6671
        datac => \tec|Equal80~0_combout\,
6672
        datad => \tec|Equal1~2_combout\,
6673
        combout => \tec|WideNor0~9_combout\);
6674
 
6675
-- Location: LCCOMB_X18_Y14_N10
6676
\tec|WideNor0~8\ : cycloneive_lcell_comb
6677
-- Equation(s):
6678
-- \tec|WideNor0~8_combout\ = (\tec|sm_counter\(6) & (\tec|sm_counter\(0) & \tec|Equal8~1_combout\))
6679
 
6680
-- pragma translate_off
6681
GENERIC MAP (
6682
        lut_mask => "1100000000000000",
6683
        sum_lutc_input => "datac")
6684
-- pragma translate_on
6685
PORT MAP (
6686
        datab => \tec|sm_counter\(6),
6687
        datac => \tec|sm_counter\(0),
6688
        datad => \tec|Equal8~1_combout\,
6689
        combout => \tec|WideNor0~8_combout\);
6690
 
6691
-- Location: LCCOMB_X18_Y14_N4
6692
\tec|WideNor0~12\ : cycloneive_lcell_comb
6693
-- Equation(s):
6694
-- \tec|WideNor0~12_combout\ = (\tec|WideNor0~11_combout\) # ((\tec|WideNor0~10_combout\) # ((\tec|WideNor0~9_combout\) # (\tec|WideNor0~8_combout\)))
6695
 
6696
-- pragma translate_off
6697
GENERIC MAP (
6698
        lut_mask => "1111111111111110",
6699
        sum_lutc_input => "datac")
6700
-- pragma translate_on
6701
PORT MAP (
6702
        dataa => \tec|WideNor0~11_combout\,
6703
        datab => \tec|WideNor0~10_combout\,
6704
        datac => \tec|WideNor0~9_combout\,
6705
        datad => \tec|WideNor0~8_combout\,
6706
        combout => \tec|WideNor0~12_combout\);
6707
 
6708
-- Location: LCCOMB_X16_Y14_N24
6709
\tec|WideNor0~31\ : cycloneive_lcell_comb
6710
-- Equation(s):
6711
-- \tec|WideNor0~31_combout\ = (\tec|sm_counter\(0) & (\tec|sm_counter\(5) & \tec|Equal18~1_combout\))
6712
 
6713
-- pragma translate_off
6714
GENERIC MAP (
6715
        lut_mask => "1000100000000000",
6716
        sum_lutc_input => "datac")
6717
-- pragma translate_on
6718
PORT MAP (
6719
        dataa => \tec|sm_counter\(0),
6720
        datab => \tec|sm_counter\(5),
6721
        datad => \tec|Equal18~1_combout\,
6722
        combout => \tec|WideNor0~31_combout\);
6723
 
6724
-- Location: LCCOMB_X17_Y14_N26
6725
\tec|WideNor0~15\ : cycloneive_lcell_comb
6726
-- Equation(s):
6727
-- \tec|WideNor0~15_combout\ = (\tec|WideNor0~31_combout\) # ((\tec|Equal96~0_combout\ & ((\tec|Equal69~0_combout\) # (\tec|Equal71~0_combout\))))
6728
 
6729
-- pragma translate_off
6730
GENERIC MAP (
6731
        lut_mask => "1111101011101010",
6732
        sum_lutc_input => "datac")
6733
-- pragma translate_on
6734
PORT MAP (
6735
        dataa => \tec|WideNor0~31_combout\,
6736
        datab => \tec|Equal69~0_combout\,
6737
        datac => \tec|Equal96~0_combout\,
6738
        datad => \tec|Equal71~0_combout\,
6739
        combout => \tec|WideNor0~15_combout\);
6740
 
6741
-- Location: LCCOMB_X17_Y13_N12
6742
\tec|WideNor0~13\ : cycloneive_lcell_comb
6743
-- Equation(s):
6744
-- \tec|WideNor0~13_combout\ = (\tec|Equal76~1_combout\ & ((\tec|Equal37~1_combout\) # ((\tec|Equal37~0_combout\ & \tec|Equal74~1_combout\)))) # (!\tec|Equal76~1_combout\ & (\tec|Equal37~0_combout\ & ((\tec|Equal74~1_combout\))))
6745
 
6746
-- pragma translate_off
6747
GENERIC MAP (
6748
        lut_mask => "1110110010100000",
6749
        sum_lutc_input => "datac")
6750
-- pragma translate_on
6751
PORT MAP (
6752
        dataa => \tec|Equal76~1_combout\,
6753
        datab => \tec|Equal37~0_combout\,
6754
        datac => \tec|Equal37~1_combout\,
6755
        datad => \tec|Equal74~1_combout\,
6756
        combout => \tec|WideNor0~13_combout\);
6757
 
6758
-- Location: LCCOMB_X17_Y19_N0
6759
\tec|Equal26~0\ : cycloneive_lcell_comb
6760
-- Equation(s):
6761
-- \tec|Equal26~0_combout\ = (!\tec|sm_counter\(0) & (!\tec|sm_counter\(2) & !\tec|sm_counter\(5)))
6762
 
6763
-- pragma translate_off
6764
GENERIC MAP (
6765
        lut_mask => "0000000000000101",
6766
        sum_lutc_input => "datac")
6767
-- pragma translate_on
6768
PORT MAP (
6769
        dataa => \tec|sm_counter\(0),
6770
        datac => \tec|sm_counter\(2),
6771
        datad => \tec|sm_counter\(5),
6772
        combout => \tec|Equal26~0_combout\);
6773
 
6774
-- Location: LCCOMB_X17_Y19_N14
6775
\tec|Equal2~2\ : cycloneive_lcell_comb
6776
-- Equation(s):
6777
-- \tec|Equal2~2_combout\ = (\tec|Equal2~0_combout\ & (\tec|Equal26~0_combout\ & (\tec|Equal64~0_combout\ & \tec|Equal2~1_combout\)))
6778
 
6779
-- pragma translate_off
6780
GENERIC MAP (
6781
        lut_mask => "1000000000000000",
6782
        sum_lutc_input => "datac")
6783
-- pragma translate_on
6784
PORT MAP (
6785
        dataa => \tec|Equal2~0_combout\,
6786
        datab => \tec|Equal26~0_combout\,
6787
        datac => \tec|Equal64~0_combout\,
6788
        datad => \tec|Equal2~1_combout\,
6789
        combout => \tec|Equal2~2_combout\);
6790
 
6791
-- Location: LCCOMB_X17_Y14_N8
6792
\tec|WideNor0~14\ : cycloneive_lcell_comb
6793
-- Equation(s):
6794
-- \tec|WideNor0~14_combout\ = (\tec|WideNor0~13_combout\) # ((\tec|Equal2~2_combout\) # ((\tec|Equal99~2_combout\) # (\tec|Equal97~2_combout\)))
6795
 
6796
-- pragma translate_off
6797
GENERIC MAP (
6798
        lut_mask => "1111111111111110",
6799
        sum_lutc_input => "datac")
6800
-- pragma translate_on
6801
PORT MAP (
6802
        dataa => \tec|WideNor0~13_combout\,
6803
        datab => \tec|Equal2~2_combout\,
6804
        datac => \tec|Equal99~2_combout\,
6805
        datad => \tec|Equal97~2_combout\,
6806
        combout => \tec|WideNor0~14_combout\);
6807
 
6808
-- Location: LCCOMB_X18_Y14_N22
6809
\tec|WideNor0~27\ : cycloneive_lcell_comb
6810
-- Equation(s):
6811
-- \tec|WideNor0~27_combout\ = (\tec|WideNor0~26_combout\) # ((\tec|WideNor0~12_combout\) # ((\tec|WideNor0~15_combout\) # (\tec|WideNor0~14_combout\)))
6812
 
6813
-- pragma translate_off
6814
GENERIC MAP (
6815
        lut_mask => "1111111111111110",
6816
        sum_lutc_input => "datac")
6817
-- pragma translate_on
6818
PORT MAP (
6819
        dataa => \tec|WideNor0~26_combout\,
6820
        datab => \tec|WideNor0~12_combout\,
6821
        datac => \tec|WideNor0~15_combout\,
6822
        datad => \tec|WideNor0~14_combout\,
6823
        combout => \tec|WideNor0~27_combout\);
6824
 
6825
-- Location: LCCOMB_X18_Y16_N26
6826
\tec|dio~41\ : cycloneive_lcell_comb
6827
-- Equation(s):
6828
-- \tec|dio~41_combout\ = (\tec|Equal89~0_combout\ & (!\tec|Equal37~0_combout\ & ((!\tec|Equal10~0_combout\) # (!\tec|Equal3~0_combout\)))) # (!\tec|Equal89~0_combout\ & (((!\tec|Equal10~0_combout\)) # (!\tec|Equal3~0_combout\)))
6829
 
6830
-- pragma translate_off
6831
GENERIC MAP (
6832
        lut_mask => "0001001101011111",
6833
        sum_lutc_input => "datac")
6834
-- pragma translate_on
6835
PORT MAP (
6836
        dataa => \tec|Equal89~0_combout\,
6837
        datab => \tec|Equal3~0_combout\,
6838
        datac => \tec|Equal37~0_combout\,
6839
        datad => \tec|Equal10~0_combout\,
6840
        combout => \tec|dio~41_combout\);
6841
 
6842
-- Location: LCCOMB_X16_Y15_N20
6843
\tec|dio~39\ : cycloneive_lcell_comb
6844
-- Equation(s):
6845
-- \tec|dio~39_combout\ = ((\tec|sm_counter\(3) & (!\tec|Equal77~0_combout\)) # (!\tec|sm_counter\(3) & ((!\tec|Equal7~2_combout\)))) # (!\tec|Equal7~1_combout\)
6846
 
6847
-- pragma translate_off
6848
GENERIC MAP (
6849
        lut_mask => "0100011111111111",
6850
        sum_lutc_input => "datac")
6851
-- pragma translate_on
6852
PORT MAP (
6853
        dataa => \tec|Equal77~0_combout\,
6854
        datab => \tec|sm_counter\(3),
6855
        datac => \tec|Equal7~2_combout\,
6856
        datad => \tec|Equal7~1_combout\,
6857
        combout => \tec|dio~39_combout\);
6858
 
6859
-- Location: LCCOMB_X18_Y14_N26
6860
\tec|Equal9~0\ : cycloneive_lcell_comb
6861
-- Equation(s):
6862
-- \tec|Equal9~0_combout\ = (\tec|sm_counter\(0) & (!\tec|sm_counter\(6) & (!\tec|sm_counter\(5) & \tec|Equal8~1_combout\)))
6863
 
6864
-- pragma translate_off
6865
GENERIC MAP (
6866
        lut_mask => "0000001000000000",
6867
        sum_lutc_input => "datac")
6868
-- pragma translate_on
6869
PORT MAP (
6870
        dataa => \tec|sm_counter\(0),
6871
        datab => \tec|sm_counter\(6),
6872
        datac => \tec|sm_counter\(5),
6873
        datad => \tec|Equal8~1_combout\,
6874
        combout => \tec|Equal9~0_combout\);
6875
 
6876
-- Location: LCCOMB_X18_Y16_N16
6877
\tec|dio~40\ : cycloneive_lcell_comb
6878
-- Equation(s):
6879
-- \tec|dio~40_combout\ = (\tec|dio~39_combout\ & (!\tec|Equal9~0_combout\ & ((!\tec|Equal2~1_combout\) # (!\tec|Equal3~0_combout\))))
6880
 
6881
-- pragma translate_off
6882
GENERIC MAP (
6883
        lut_mask => "0000001000001010",
6884
        sum_lutc_input => "datac")
6885
-- pragma translate_on
6886
PORT MAP (
6887
        dataa => \tec|dio~39_combout\,
6888
        datab => \tec|Equal3~0_combout\,
6889
        datac => \tec|Equal9~0_combout\,
6890
        datad => \tec|Equal2~1_combout\,
6891
        combout => \tec|dio~40_combout\);
6892
 
6893
-- Location: LCCOMB_X17_Y19_N22
6894
\tec|Equal88~4\ : cycloneive_lcell_comb
6895
-- Equation(s):
6896
-- \tec|Equal88~4_combout\ = (\tec|sm_counter\(6) & (\tec|sm_counter\(4) & (\tec|Equal64~0_combout\ & \tec|sm_counter\(3))))
6897
 
6898
-- pragma translate_off
6899
GENERIC MAP (
6900
        lut_mask => "1000000000000000",
6901
        sum_lutc_input => "datac")
6902
-- pragma translate_on
6903
PORT MAP (
6904
        dataa => \tec|sm_counter\(6),
6905
        datab => \tec|sm_counter\(4),
6906
        datac => \tec|Equal64~0_combout\,
6907
        datad => \tec|sm_counter\(3),
6908
        combout => \tec|Equal88~4_combout\);
6909
 
6910
-- Location: LCCOMB_X17_Y19_N18
6911
\tec|dio~42\ : cycloneive_lcell_comb
6912
-- Equation(s):
6913
-- \tec|dio~42_combout\ = (\tec|Equal117~0_combout\ & (!\tec|Equal88~4_combout\ & ((!\tec|Equal27~0_combout\) # (!\tec|Equal3~0_combout\)))) # (!\tec|Equal117~0_combout\ & (((!\tec|Equal27~0_combout\)) # (!\tec|Equal3~0_combout\)))
6914
 
6915
-- pragma translate_off
6916
GENERIC MAP (
6917
        lut_mask => "0001001101011111",
6918
        sum_lutc_input => "datac")
6919
-- pragma translate_on
6920
PORT MAP (
6921
        dataa => \tec|Equal117~0_combout\,
6922
        datab => \tec|Equal3~0_combout\,
6923
        datac => \tec|Equal88~4_combout\,
6924
        datad => \tec|Equal27~0_combout\,
6925
        combout => \tec|dio~42_combout\);
6926
 
6927
-- Location: LCCOMB_X19_Y17_N22
6928
\tec|Equal128~4\ : cycloneive_lcell_comb
6929
-- Equation(s):
6930
-- \tec|Equal128~4_combout\ = (!\tec|sm_counter\(3) & (!\tec|sm_counter\(2) & \tec|Equal128~1_combout\))
6931
 
6932
-- pragma translate_off
6933
GENERIC MAP (
6934
        lut_mask => "0000010100000000",
6935
        sum_lutc_input => "datac")
6936
-- pragma translate_on
6937
PORT MAP (
6938
        dataa => \tec|sm_counter\(3),
6939
        datac => \tec|sm_counter\(2),
6940
        datad => \tec|Equal128~1_combout\,
6941
        combout => \tec|Equal128~4_combout\);
6942
 
6943
-- Location: LCCOMB_X18_Y16_N4
6944
\tec|dio~43\ : cycloneive_lcell_comb
6945
-- Equation(s):
6946
-- \tec|dio~43_combout\ = (\tec|dio~42_combout\ & (((!\tec|Equal7~0_combout\ & !\tec|Equal1~2_combout\)) # (!\tec|Equal128~4_combout\)))
6947
 
6948
-- pragma translate_off
6949
GENERIC MAP (
6950
        lut_mask => "0000001010101010",
6951
        sum_lutc_input => "datac")
6952
-- pragma translate_on
6953
PORT MAP (
6954
        dataa => \tec|dio~42_combout\,
6955
        datab => \tec|Equal7~0_combout\,
6956
        datac => \tec|Equal1~2_combout\,
6957
        datad => \tec|Equal128~4_combout\,
6958
        combout => \tec|dio~43_combout\);
6959
 
6960
-- Location: LCCOMB_X16_Y17_N12
6961
\tec|dio~46\ : cycloneive_lcell_comb
6962
-- Equation(s):
6963
-- \tec|dio~46_combout\ = (\tec|sm_counter\(0) & ((!\tec|sm_counter\(5)))) # (!\tec|sm_counter\(0) & (\tec|sm_counter\(6) & \tec|sm_counter\(5)))
6964
 
6965
-- pragma translate_off
6966
GENERIC MAP (
6967
        lut_mask => "0100101001001010",
6968
        sum_lutc_input => "datac")
6969
-- pragma translate_on
6970
PORT MAP (
6971
        dataa => \tec|sm_counter\(0),
6972
        datab => \tec|sm_counter\(6),
6973
        datac => \tec|sm_counter\(5),
6974
        combout => \tec|dio~46_combout\);
6975
 
6976
-- Location: LCCOMB_X16_Y17_N20
6977
\tec|dio~16\ : cycloneive_lcell_comb
6978
-- Equation(s):
6979
-- \tec|dio~16_combout\ = (\tec|Equal0~3_combout\ & (!\tec|Equal64~3_combout\ & ((!\tec|Equal30~0_combout\) # (!\tec|sm_counter\(5))))) # (!\tec|Equal0~3_combout\ & (((!\tec|Equal30~0_combout\) # (!\tec|sm_counter\(5)))))
6980
 
6981
-- pragma translate_off
6982
GENERIC MAP (
6983
        lut_mask => "0000011101110111",
6984
        sum_lutc_input => "datac")
6985
-- pragma translate_on
6986
PORT MAP (
6987
        dataa => \tec|Equal0~3_combout\,
6988
        datab => \tec|Equal64~3_combout\,
6989
        datac => \tec|sm_counter\(5),
6990
        datad => \tec|Equal30~0_combout\,
6991
        combout => \tec|dio~16_combout\);
6992
 
6993
-- Location: LCCOMB_X16_Y17_N30
6994
\tec|dio~17\ : cycloneive_lcell_comb
6995
-- Equation(s):
6996
-- \tec|dio~17_combout\ = (\tec|dio~16_combout\ & ((\tec|dio~46_combout\ $ (\tec|sm_counter\(5))) # (!\tec|Equal8~1_combout\)))
6997
 
6998
-- pragma translate_off
6999
GENERIC MAP (
7000
        lut_mask => "0110111100000000",
7001
        sum_lutc_input => "datac")
7002
-- pragma translate_on
7003
PORT MAP (
7004
        dataa => \tec|dio~46_combout\,
7005
        datab => \tec|sm_counter\(5),
7006
        datac => \tec|Equal8~1_combout\,
7007
        datad => \tec|dio~16_combout\,
7008
        combout => \tec|dio~17_combout\);
7009
 
7010
-- Location: LCCOMB_X16_Y17_N8
7011
\tec|dio~18\ : cycloneive_lcell_comb
7012
-- Equation(s):
7013
-- \tec|dio~18_combout\ = (\tec|dio~17_combout\ & (((!\tec|sm_counter\(3) & \tec|sm_counter\(5))) # (!\tec|Equal4~0_combout\)))
7014
 
7015
-- pragma translate_off
7016
GENERIC MAP (
7017
        lut_mask => "0111001100000000",
7018
        sum_lutc_input => "datac")
7019
-- pragma translate_on
7020
PORT MAP (
7021
        dataa => \tec|sm_counter\(3),
7022
        datab => \tec|Equal4~0_combout\,
7023
        datac => \tec|sm_counter\(5),
7024
        datad => \tec|dio~17_combout\,
7025
        combout => \tec|dio~18_combout\);
7026
 
7027
-- Location: LCCOMB_X18_Y14_N8
7028
\tec|Equal66~0\ : cycloneive_lcell_comb
7029
-- Equation(s):
7030
-- \tec|Equal66~0_combout\ = (!\tec|sm_counter\(0) & (!\tec|sm_counter\(2) & \tec|sm_counter\(1)))
7031
 
7032
-- pragma translate_off
7033
GENERIC MAP (
7034
        lut_mask => "0000010100000000",
7035
        sum_lutc_input => "datac")
7036
-- pragma translate_on
7037
PORT MAP (
7038
        dataa => \tec|sm_counter\(0),
7039
        datac => \tec|sm_counter\(2),
7040
        datad => \tec|sm_counter\(1),
7041
        combout => \tec|Equal66~0_combout\);
7042
 
7043
-- Location: LCCOMB_X17_Y10_N6
7044
\tec|dio~21\ : cycloneive_lcell_comb
7045
-- Equation(s):
7046
-- \tec|dio~21_combout\ = ((!\tec|Equal66~0_combout\ & (!\tec|Equal68~0_combout\ & !\tec|Equal0~3_combout\))) # (!\tec|Equal80~0_combout\)
7047
 
7048
-- pragma translate_off
7049
GENERIC MAP (
7050
        lut_mask => "0101010101010111",
7051
        sum_lutc_input => "datac")
7052
-- pragma translate_on
7053
PORT MAP (
7054
        dataa => \tec|Equal80~0_combout\,
7055
        datab => \tec|Equal66~0_combout\,
7056
        datac => \tec|Equal68~0_combout\,
7057
        datad => \tec|Equal0~3_combout\,
7058
        combout => \tec|dio~21_combout\);
7059
 
7060
-- Location: LCCOMB_X17_Y10_N18
7061
\tec|dio~19\ : cycloneive_lcell_comb
7062
-- Equation(s):
7063
-- \tec|dio~19_combout\ = (\tec|sm_counter\(5)) # ((\tec|sm_counter\(0)) # ((!\tec|Equal76~1_combout\ & !\tec|Equal74~1_combout\)))
7064
 
7065
-- pragma translate_off
7066
GENERIC MAP (
7067
        lut_mask => "1111101011111011",
7068
        sum_lutc_input => "datac")
7069
-- pragma translate_on
7070
PORT MAP (
7071
        dataa => \tec|sm_counter\(5),
7072
        datab => \tec|Equal76~1_combout\,
7073
        datac => \tec|sm_counter\(0),
7074
        datad => \tec|Equal74~1_combout\,
7075
        combout => \tec|dio~19_combout\);
7076
 
7077
-- Location: LCCOMB_X17_Y10_N8
7078
\tec|dio~22\ : cycloneive_lcell_comb
7079
-- Equation(s):
7080
-- \tec|dio~22_combout\ = (\tec|Equal88~3_combout\ & (((!\tec|Equal0~3_combout\ & !\tec|Equal70~0_combout\)))) # (!\tec|Equal88~3_combout\ & (((!\tec|Equal70~0_combout\)) # (!\tec|Equal80~0_combout\)))
7081
 
7082
-- pragma translate_off
7083
GENERIC MAP (
7084
        lut_mask => "0000010100111111",
7085
        sum_lutc_input => "datac")
7086
-- pragma translate_on
7087
PORT MAP (
7088
        dataa => \tec|Equal80~0_combout\,
7089
        datab => \tec|Equal0~3_combout\,
7090
        datac => \tec|Equal88~3_combout\,
7091
        datad => \tec|Equal70~0_combout\,
7092
        combout => \tec|dio~22_combout\);
7093
 
7094
-- Location: LCCOMB_X17_Y10_N28
7095
\tec|dio~20\ : cycloneive_lcell_comb
7096
-- Equation(s):
7097
-- \tec|dio~20_combout\ = ((!\tec|Equal70~0_combout\ & (!\tec|Equal66~0_combout\ & !\tec|Equal68~0_combout\))) # (!\tec|Equal64~3_combout\)
7098
 
7099
-- pragma translate_off
7100
GENERIC MAP (
7101
        lut_mask => "0000000111111111",
7102
        sum_lutc_input => "datac")
7103
-- pragma translate_on
7104
PORT MAP (
7105
        dataa => \tec|Equal70~0_combout\,
7106
        datab => \tec|Equal66~0_combout\,
7107
        datac => \tec|Equal68~0_combout\,
7108
        datad => \tec|Equal64~3_combout\,
7109
        combout => \tec|dio~20_combout\);
7110
 
7111
-- Location: LCCOMB_X17_Y10_N26
7112
\tec|dio~23\ : cycloneive_lcell_comb
7113
-- Equation(s):
7114
-- \tec|dio~23_combout\ = (\tec|dio~21_combout\ & (\tec|dio~19_combout\ & (\tec|dio~22_combout\ & \tec|dio~20_combout\)))
7115
 
7116
-- pragma translate_off
7117
GENERIC MAP (
7118
        lut_mask => "1000000000000000",
7119
        sum_lutc_input => "datac")
7120
-- pragma translate_on
7121
PORT MAP (
7122
        dataa => \tec|dio~21_combout\,
7123
        datab => \tec|dio~19_combout\,
7124
        datac => \tec|dio~22_combout\,
7125
        datad => \tec|dio~20_combout\,
7126
        combout => \tec|dio~23_combout\);
7127
 
7128
-- Location: LCCOMB_X17_Y10_N30
7129
\tec|Equal122~0\ : cycloneive_lcell_comb
7130
-- Equation(s):
7131
-- \tec|Equal122~0_combout\ = (\tec|sm_counter\(5) & (\tec|Equal27~0_combout\ & (\tec|Equal64~0_combout\ & \tec|sm_counter\(6))))
7132
 
7133
-- pragma translate_off
7134
GENERIC MAP (
7135
        lut_mask => "1000000000000000",
7136
        sum_lutc_input => "datac")
7137
-- pragma translate_on
7138
PORT MAP (
7139
        dataa => \tec|sm_counter\(5),
7140
        datab => \tec|Equal27~0_combout\,
7141
        datac => \tec|Equal64~0_combout\,
7142
        datad => \tec|sm_counter\(6),
7143
        combout => \tec|Equal122~0_combout\);
7144
 
7145
-- Location: LCCOMB_X17_Y10_N24
7146
\tec|dio~26\ : cycloneive_lcell_comb
7147
-- Equation(s):
7148
-- \tec|dio~26_combout\ = ((!\tec|Equal66~0_combout\ & (!\tec|Equal68~0_combout\ & !\tec|Equal70~0_combout\))) # (!\tec|Equal122~0_combout\)
7149
 
7150
-- pragma translate_off
7151
GENERIC MAP (
7152
        lut_mask => "0101010101010111",
7153
        sum_lutc_input => "datac")
7154
-- pragma translate_on
7155
PORT MAP (
7156
        dataa => \tec|Equal122~0_combout\,
7157
        datab => \tec|Equal66~0_combout\,
7158
        datac => \tec|Equal68~0_combout\,
7159
        datad => \tec|Equal70~0_combout\,
7160
        combout => \tec|dio~26_combout\);
7161
 
7162
-- Location: LCCOMB_X17_Y14_N22
7163
\tec|dio~47\ : cycloneive_lcell_comb
7164
-- Equation(s):
7165
-- \tec|dio~47_combout\ = (!\tec|sm_counter\(0) & (\tec|sm_counter\(1) $ (\tec|sm_counter\(2))))
7166
 
7167
-- pragma translate_off
7168
GENERIC MAP (
7169
        lut_mask => "0000010101010000",
7170
        sum_lutc_input => "datac")
7171
-- pragma translate_on
7172
PORT MAP (
7173
        dataa => \tec|sm_counter\(0),
7174
        datac => \tec|sm_counter\(1),
7175
        datad => \tec|sm_counter\(2),
7176
        combout => \tec|dio~47_combout\);
7177
 
7178
-- Location: LCCOMB_X17_Y10_N4
7179
\tec|dio~24\ : cycloneive_lcell_comb
7180
-- Equation(s):
7181
-- \tec|dio~24_combout\ = (\tec|Equal112~0_combout\ & ((\tec|dio~47_combout\) # ((\tec|Equal0~3_combout\)))) # (!\tec|Equal112~0_combout\ & (\tec|dio~47_combout\ & (\tec|Equal88~3_combout\)))
7182
 
7183
-- pragma translate_off
7184
GENERIC MAP (
7185
        lut_mask => "1110101011001000",
7186
        sum_lutc_input => "datac")
7187
-- pragma translate_on
7188
PORT MAP (
7189
        dataa => \tec|Equal112~0_combout\,
7190
        datab => \tec|dio~47_combout\,
7191
        datac => \tec|Equal88~3_combout\,
7192
        datad => \tec|Equal0~3_combout\,
7193
        combout => \tec|dio~24_combout\);
7194
 
7195
-- Location: LCCOMB_X17_Y10_N14
7196
\tec|dio~48\ : cycloneive_lcell_comb
7197
-- Equation(s):
7198
-- \tec|dio~48_combout\ = (!\tec|dio~24_combout\ & (((\tec|sm_counter\(0)) # (!\tec|Equal74~1_combout\)) # (!\tec|sm_counter\(5))))
7199
 
7200
-- pragma translate_off
7201
GENERIC MAP (
7202
        lut_mask => "0011000100110011",
7203
        sum_lutc_input => "datac")
7204
-- pragma translate_on
7205
PORT MAP (
7206
        dataa => \tec|sm_counter\(5),
7207
        datab => \tec|dio~24_combout\,
7208
        datac => \tec|sm_counter\(0),
7209
        datad => \tec|Equal74~1_combout\,
7210
        combout => \tec|dio~48_combout\);
7211
 
7212
-- Location: LCCOMB_X21_Y16_N22
7213
\tec|Equal18~2\ : cycloneive_lcell_comb
7214
-- Equation(s):
7215
-- \tec|Equal18~2_combout\ = (!\tec|sm_counter\(5) & (\tec|Equal19~0_combout\ & (\tec|Equal2~0_combout\ & \tec|Equal64~0_combout\)))
7216
 
7217
-- pragma translate_off
7218
GENERIC MAP (
7219
        lut_mask => "0100000000000000",
7220
        sum_lutc_input => "datac")
7221
-- pragma translate_on
7222
PORT MAP (
7223
        dataa => \tec|sm_counter\(5),
7224
        datab => \tec|Equal19~0_combout\,
7225
        datac => \tec|Equal2~0_combout\,
7226
        datad => \tec|Equal64~0_combout\,
7227
        combout => \tec|Equal18~2_combout\);
7228
 
7229
-- Location: LCCOMB_X21_Y16_N0
7230
\tec|Equal10~1\ : cycloneive_lcell_comb
7231
-- Equation(s):
7232
-- \tec|Equal10~1_combout\ = (\tec|Equal2~0_combout\ & (\tec|Equal10~0_combout\ & (\tec|Equal26~0_combout\ & \tec|Equal64~0_combout\)))
7233
 
7234
-- pragma translate_off
7235
GENERIC MAP (
7236
        lut_mask => "1000000000000000",
7237
        sum_lutc_input => "datac")
7238
-- pragma translate_on
7239
PORT MAP (
7240
        dataa => \tec|Equal2~0_combout\,
7241
        datab => \tec|Equal10~0_combout\,
7242
        datac => \tec|Equal26~0_combout\,
7243
        datad => \tec|Equal64~0_combout\,
7244
        combout => \tec|Equal10~1_combout\);
7245
 
7246
-- Location: LCCOMB_X21_Y16_N26
7247
\tec|dio~25\ : cycloneive_lcell_comb
7248
-- Equation(s):
7249
-- \tec|dio~25_combout\ = (!\tec|Equal10~1_combout\ & (((!\tec|Equal22~0_combout\ & !\tec|Equal0~2_combout\)) # (!\tec|Equal18~2_combout\)))
7250
 
7251
-- pragma translate_off
7252
GENERIC MAP (
7253
        lut_mask => "0000000000011111",
7254
        sum_lutc_input => "datac")
7255
-- pragma translate_on
7256
PORT MAP (
7257
        dataa => \tec|Equal22~0_combout\,
7258
        datab => \tec|Equal0~2_combout\,
7259
        datac => \tec|Equal18~2_combout\,
7260
        datad => \tec|Equal10~1_combout\,
7261
        combout => \tec|dio~25_combout\);
7262
 
7263
-- Location: LCCOMB_X17_Y10_N2
7264
\tec|dio~27\ : cycloneive_lcell_comb
7265
-- Equation(s):
7266
-- \tec|dio~27_combout\ = (\tec|dio~23_combout\ & (\tec|dio~26_combout\ & (\tec|dio~48_combout\ & \tec|dio~25_combout\)))
7267
 
7268
-- pragma translate_off
7269
GENERIC MAP (
7270
        lut_mask => "1000000000000000",
7271
        sum_lutc_input => "datac")
7272
-- pragma translate_on
7273
PORT MAP (
7274
        dataa => \tec|dio~23_combout\,
7275
        datab => \tec|dio~26_combout\,
7276
        datac => \tec|dio~48_combout\,
7277
        datad => \tec|dio~25_combout\,
7278
        combout => \tec|dio~27_combout\);
7279
 
7280
-- Location: LCCOMB_X18_Y17_N4
7281
\tec|Equal54~2\ : cycloneive_lcell_comb
7282
-- Equation(s):
7283
-- \tec|Equal54~2_combout\ = (\tec|sm_counter\(2) & (\tec|sm_counter\(5) & (!\tec|sm_counter\(0) & \tec|Equal18~1_combout\)))
7284
 
7285
-- pragma translate_off
7286
GENERIC MAP (
7287
        lut_mask => "0000100000000000",
7288
        sum_lutc_input => "datac")
7289
-- pragma translate_on
7290
PORT MAP (
7291
        dataa => \tec|sm_counter\(2),
7292
        datab => \tec|sm_counter\(5),
7293
        datac => \tec|sm_counter\(0),
7294
        datad => \tec|Equal18~1_combout\,
7295
        combout => \tec|Equal54~2_combout\);
7296
 
7297
-- Location: LCCOMB_X18_Y17_N2
7298
\tec|dio~36\ : cycloneive_lcell_comb
7299
-- Equation(s):
7300
-- \tec|dio~36_combout\ = (!\tec|Equal54~2_combout\ & (((!\tec|Equal70~0_combout\ & !\tec|Equal68~0_combout\)) # (!\tec|Equal128~3_combout\)))
7301
 
7302
-- pragma translate_off
7303
GENERIC MAP (
7304
        lut_mask => "0000000100001111",
7305
        sum_lutc_input => "datac")
7306
-- pragma translate_on
7307
PORT MAP (
7308
        dataa => \tec|Equal70~0_combout\,
7309
        datab => \tec|Equal68~0_combout\,
7310
        datac => \tec|Equal54~2_combout\,
7311
        datad => \tec|Equal128~3_combout\,
7312
        combout => \tec|dio~36_combout\);
7313
 
7314
-- Location: LCCOMB_X19_Y17_N8
7315
\tec|Equal28~0\ : cycloneive_lcell_comb
7316
-- Equation(s):
7317
-- \tec|Equal28~0_combout\ = (!\tec|sm_counter\(1) & (\tec|sm_counter\(2) & (!\tec|sm_counter\(5) & !\tec|sm_counter\(6))))
7318
 
7319
-- pragma translate_off
7320
GENERIC MAP (
7321
        lut_mask => "0000000000000100",
7322
        sum_lutc_input => "datac")
7323
-- pragma translate_on
7324
PORT MAP (
7325
        dataa => \tec|sm_counter\(1),
7326
        datab => \tec|sm_counter\(2),
7327
        datac => \tec|sm_counter\(5),
7328
        datad => \tec|sm_counter\(6),
7329
        combout => \tec|Equal28~0_combout\);
7330
 
7331
-- Location: LCCOMB_X19_Y17_N2
7332
\tec|dio~35\ : cycloneive_lcell_comb
7333
-- Equation(s):
7334
-- \tec|dio~35_combout\ = (\tec|Equal24~4_combout\ & (!\tec|Equal28~0_combout\ & ((!\tec|Equal128~4_combout\) # (!\tec|Equal138~0_combout\)))) # (!\tec|Equal24~4_combout\ & (((!\tec|Equal128~4_combout\)) # (!\tec|Equal138~0_combout\)))
7335
 
7336
-- pragma translate_off
7337
GENERIC MAP (
7338
        lut_mask => "0001001101011111",
7339
        sum_lutc_input => "datac")
7340
-- pragma translate_on
7341
PORT MAP (
7342
        dataa => \tec|Equal24~4_combout\,
7343
        datab => \tec|Equal138~0_combout\,
7344
        datac => \tec|Equal28~0_combout\,
7345
        datad => \tec|Equal128~4_combout\,
7346
        combout => \tec|dio~35_combout\);
7347
 
7348
-- Location: LCCOMB_X18_Y17_N0
7349
\tec|dio~34\ : cycloneive_lcell_comb
7350
-- Equation(s):
7351
-- \tec|dio~34_combout\ = (\tec|Equal88~4_combout\ & (!\tec|Equal32~0_combout\ & ((!\tec|Equal128~4_combout\) # (!\tec|Equal128~2_combout\)))) # (!\tec|Equal88~4_combout\ & (((!\tec|Equal128~4_combout\) # (!\tec|Equal128~2_combout\))))
7352
 
7353
-- pragma translate_off
7354
GENERIC MAP (
7355
        lut_mask => "0000011101110111",
7356
        sum_lutc_input => "datac")
7357
-- pragma translate_on
7358
PORT MAP (
7359
        dataa => \tec|Equal88~4_combout\,
7360
        datab => \tec|Equal32~0_combout\,
7361
        datac => \tec|Equal128~2_combout\,
7362
        datad => \tec|Equal128~4_combout\,
7363
        combout => \tec|dio~34_combout\);
7364
 
7365
-- Location: LCCOMB_X21_Y16_N2
7366
\tec|Equal52~0\ : cycloneive_lcell_comb
7367
-- Equation(s):
7368
-- \tec|Equal52~0_combout\ = (\tec|sm_counter\(5) & (!\tec|sm_counter\(0) & (\tec|sm_counter\(2) & \tec|Equal16~1_combout\)))
7369
 
7370
-- pragma translate_off
7371
GENERIC MAP (
7372
        lut_mask => "0010000000000000",
7373
        sum_lutc_input => "datac")
7374
-- pragma translate_on
7375
PORT MAP (
7376
        dataa => \tec|sm_counter\(5),
7377
        datab => \tec|sm_counter\(0),
7378
        datac => \tec|sm_counter\(2),
7379
        datad => \tec|Equal16~1_combout\,
7380
        combout => \tec|Equal52~0_combout\);
7381
 
7382
-- Location: LCCOMB_X19_Y17_N4
7383
\tec|dio~37\ : cycloneive_lcell_comb
7384
-- Equation(s):
7385
-- \tec|dio~37_combout\ = (\tec|dio~36_combout\ & (\tec|dio~35_combout\ & (\tec|dio~34_combout\ & !\tec|Equal52~0_combout\)))
7386
 
7387
-- pragma translate_off
7388
GENERIC MAP (
7389
        lut_mask => "0000000010000000",
7390
        sum_lutc_input => "datac")
7391
-- pragma translate_on
7392
PORT MAP (
7393
        dataa => \tec|dio~36_combout\,
7394
        datab => \tec|dio~35_combout\,
7395
        datac => \tec|dio~34_combout\,
7396
        datad => \tec|Equal52~0_combout\,
7397
        combout => \tec|dio~37_combout\);
7398
 
7399
-- Location: LCCOMB_X21_Y16_N20
7400
\tec|dio~31\ : cycloneive_lcell_comb
7401
-- Equation(s):
7402
-- \tec|dio~31_combout\ = (\tec|sm_counter\(5) & ((\tec|Equal18~1_combout\) # ((\tec|Equal27~0_combout\ & \tec|Equal2~4_combout\))))
7403
 
7404
-- pragma translate_off
7405
GENERIC MAP (
7406
        lut_mask => "1110101000000000",
7407
        sum_lutc_input => "datac")
7408
-- pragma translate_on
7409
PORT MAP (
7410
        dataa => \tec|Equal18~1_combout\,
7411
        datab => \tec|Equal27~0_combout\,
7412
        datac => \tec|Equal2~4_combout\,
7413
        datad => \tec|sm_counter\(5),
7414
        combout => \tec|dio~31_combout\);
7415
 
7416
-- Location: LCCOMB_X21_Y16_N30
7417
\tec|dio~32\ : cycloneive_lcell_comb
7418
-- Equation(s):
7419
-- \tec|dio~32_combout\ = (\tec|Equal0~2_combout\ & (((!\tec|Equal56~3_combout\ & !\tec|dio~31_combout\)))) # (!\tec|Equal0~2_combout\ & (((!\tec|Equal56~3_combout\)) # (!\tec|Equal22~0_combout\)))
7420
 
7421
-- pragma translate_off
7422
GENERIC MAP (
7423
        lut_mask => "0001001100011111",
7424
        sum_lutc_input => "datac")
7425
-- pragma translate_on
7426
PORT MAP (
7427
        dataa => \tec|Equal22~0_combout\,
7428
        datab => \tec|Equal0~2_combout\,
7429
        datac => \tec|Equal56~3_combout\,
7430
        datad => \tec|dio~31_combout\,
7431
        combout => \tec|dio~32_combout\);
7432
 
7433
-- Location: LCCOMB_X21_Y16_N28
7434
\tec|dio~50\ : cycloneive_lcell_comb
7435
-- Equation(s):
7436
-- \tec|dio~50_combout\ = (\tec|sm_counter\(0)) # (!\tec|Equal96~0_combout\)
7437
 
7438
-- pragma translate_off
7439
GENERIC MAP (
7440
        lut_mask => "1100111111001111",
7441
        sum_lutc_input => "datac")
7442
-- pragma translate_on
7443
PORT MAP (
7444
        datab => \tec|sm_counter\(0),
7445
        datac => \tec|Equal96~0_combout\,
7446
        combout => \tec|dio~50_combout\);
7447
 
7448
-- Location: LCCOMB_X17_Y13_N30
7449
\tec|dio~49\ : cycloneive_lcell_comb
7450
-- Equation(s):
7451
-- \tec|dio~49_combout\ = (!\tec|sm_counter\(2) & (!\tec|sm_counter\(5) & (\tec|Equal16~0_combout\ & \tec|Equal64~0_combout\)))
7452
 
7453
-- pragma translate_off
7454
GENERIC MAP (
7455
        lut_mask => "0001000000000000",
7456
        sum_lutc_input => "datac")
7457
-- pragma translate_on
7458
PORT MAP (
7459
        dataa => \tec|sm_counter\(2),
7460
        datab => \tec|sm_counter\(5),
7461
        datac => \tec|Equal16~0_combout\,
7462
        datad => \tec|Equal64~0_combout\,
7463
        combout => \tec|dio~49_combout\);
7464
 
7465
-- Location: LCCOMB_X17_Y13_N4
7466
\tec|dio~28\ : cycloneive_lcell_comb
7467
-- Equation(s):
7468
-- \tec|dio~28_combout\ = (\tec|sm_counter\(0)) # ((!\tec|dio~49_combout\ & ((!\tec|Equal76~1_combout\) # (!\tec|sm_counter\(5)))))
7469
 
7470
-- pragma translate_off
7471
GENERIC MAP (
7472
        lut_mask => "1100110111011101",
7473
        sum_lutc_input => "datac")
7474
-- pragma translate_on
7475
PORT MAP (
7476
        dataa => \tec|dio~49_combout\,
7477
        datab => \tec|sm_counter\(0),
7478
        datac => \tec|sm_counter\(5),
7479
        datad => \tec|Equal76~1_combout\,
7480
        combout => \tec|dio~28_combout\);
7481
 
7482
-- Location: LCCOMB_X21_Y9_N8
7483
\tec|Equal20~0\ : cycloneive_lcell_comb
7484
-- Equation(s):
7485
-- \tec|Equal20~0_combout\ = (\tec|sm_counter\(2) & (!\tec|sm_counter\(0) & (!\tec|sm_counter\(7) & !\tec|sm_counter\(5))))
7486
 
7487
-- pragma translate_off
7488
GENERIC MAP (
7489
        lut_mask => "0000000000000010",
7490
        sum_lutc_input => "datac")
7491
-- pragma translate_on
7492
PORT MAP (
7493
        dataa => \tec|sm_counter\(2),
7494
        datab => \tec|sm_counter\(0),
7495
        datac => \tec|sm_counter\(7),
7496
        datad => \tec|sm_counter\(5),
7497
        combout => \tec|Equal20~0_combout\);
7498
 
7499
-- Location: LCCOMB_X21_Y9_N26
7500
\tec|Equal20~1\ : cycloneive_lcell_comb
7501
-- Equation(s):
7502
-- \tec|Equal20~1_combout\ = (\tec|Equal24~2_combout\ & \tec|Equal16~0_combout\)
7503
 
7504
-- pragma translate_off
7505
GENERIC MAP (
7506
        lut_mask => "1111000000000000",
7507
        sum_lutc_input => "datac")
7508
-- pragma translate_on
7509
PORT MAP (
7510
        datac => \tec|Equal24~2_combout\,
7511
        datad => \tec|Equal16~0_combout\,
7512
        combout => \tec|Equal20~1_combout\);
7513
 
7514
-- Location: LCCOMB_X21_Y9_N4
7515
\tec|Equal20~2\ : cycloneive_lcell_comb
7516
-- Equation(s):
7517
-- \tec|Equal20~2_combout\ = (\tec|Equal142~5_combout\ & (\tec|Equal20~0_combout\ & (\tec|Equal20~1_combout\ & \tec|Equal142~0_combout\)))
7518
 
7519
-- pragma translate_off
7520
GENERIC MAP (
7521
        lut_mask => "1000000000000000",
7522
        sum_lutc_input => "datac")
7523
-- pragma translate_on
7524
PORT MAP (
7525
        dataa => \tec|Equal142~5_combout\,
7526
        datab => \tec|Equal20~0_combout\,
7527
        datac => \tec|Equal20~1_combout\,
7528
        datad => \tec|Equal142~0_combout\,
7529
        combout => \tec|Equal20~2_combout\);
7530
 
7531
-- Location: LCCOMB_X21_Y16_N12
7532
\tec|dio~29\ : cycloneive_lcell_comb
7533
-- Equation(s):
7534
-- \tec|dio~29_combout\ = (!\tec|Equal20~2_combout\ & (((!\tec|Equal27~0_combout\) # (!\tec|Equal26~0_combout\)) # (!\tec|Equal2~4_combout\)))
7535
 
7536
-- pragma translate_off
7537
GENERIC MAP (
7538
        lut_mask => "0000000001111111",
7539
        sum_lutc_input => "datac")
7540
-- pragma translate_on
7541
PORT MAP (
7542
        dataa => \tec|Equal2~4_combout\,
7543
        datab => \tec|Equal26~0_combout\,
7544
        datac => \tec|Equal27~0_combout\,
7545
        datad => \tec|Equal20~2_combout\,
7546
        combout => \tec|dio~29_combout\);
7547
 
7548
-- Location: LCCOMB_X21_Y16_N24
7549
\tec|Equal48~0\ : cycloneive_lcell_comb
7550
-- Equation(s):
7551
-- \tec|Equal48~0_combout\ = (\tec|sm_counter\(5) & (!\tec|sm_counter\(0) & (!\tec|sm_counter\(2) & \tec|Equal16~1_combout\)))
7552
 
7553
-- pragma translate_off
7554
GENERIC MAP (
7555
        lut_mask => "0000001000000000",
7556
        sum_lutc_input => "datac")
7557
-- pragma translate_on
7558
PORT MAP (
7559
        dataa => \tec|sm_counter\(5),
7560
        datab => \tec|sm_counter\(0),
7561
        datac => \tec|sm_counter\(2),
7562
        datad => \tec|Equal16~1_combout\,
7563
        combout => \tec|Equal48~0_combout\);
7564
 
7565
-- Location: LCCOMB_X21_Y16_N10
7566
\tec|dio~30\ : cycloneive_lcell_comb
7567
-- Equation(s):
7568
-- \tec|dio~30_combout\ = (\tec|dio~29_combout\ & (!\tec|Equal48~0_combout\ & ((!\tec|Equal10~0_combout\) # (!\tec|Equal34~0_combout\))))
7569
 
7570
-- pragma translate_off
7571
GENERIC MAP (
7572
        lut_mask => "0000001000100010",
7573
        sum_lutc_input => "datac")
7574
-- pragma translate_on
7575
PORT MAP (
7576
        dataa => \tec|dio~29_combout\,
7577
        datab => \tec|Equal48~0_combout\,
7578
        datac => \tec|Equal34~0_combout\,
7579
        datad => \tec|Equal10~0_combout\,
7580
        combout => \tec|dio~30_combout\);
7581
 
7582
-- Location: LCCOMB_X21_Y16_N16
7583
\tec|dio~33\ : cycloneive_lcell_comb
7584
-- Equation(s):
7585
-- \tec|dio~33_combout\ = (\tec|dio~32_combout\ & (\tec|dio~50_combout\ & (\tec|dio~28_combout\ & \tec|dio~30_combout\)))
7586
 
7587
-- pragma translate_off
7588
GENERIC MAP (
7589
        lut_mask => "1000000000000000",
7590
        sum_lutc_input => "datac")
7591
-- pragma translate_on
7592
PORT MAP (
7593
        dataa => \tec|dio~32_combout\,
7594
        datab => \tec|dio~50_combout\,
7595
        datac => \tec|dio~28_combout\,
7596
        datad => \tec|dio~30_combout\,
7597
        combout => \tec|dio~33_combout\);
7598
 
7599
-- Location: LCCOMB_X18_Y16_N6
7600
\tec|dio~38\ : cycloneive_lcell_comb
7601
-- Equation(s):
7602
-- \tec|dio~38_combout\ = (\tec|dio~18_combout\ & (\tec|dio~27_combout\ & (\tec|dio~37_combout\ & \tec|dio~33_combout\)))
7603
 
7604
-- pragma translate_off
7605
GENERIC MAP (
7606
        lut_mask => "1000000000000000",
7607
        sum_lutc_input => "datac")
7608
-- pragma translate_on
7609
PORT MAP (
7610
        dataa => \tec|dio~18_combout\,
7611
        datab => \tec|dio~27_combout\,
7612
        datac => \tec|dio~37_combout\,
7613
        datad => \tec|dio~33_combout\,
7614
        combout => \tec|dio~38_combout\);
7615
 
7616
-- Location: LCCOMB_X18_Y16_N30
7617
\tec|dio~44\ : cycloneive_lcell_comb
7618
-- Equation(s):
7619
-- \tec|dio~44_combout\ = (\tec|dio~41_combout\ & (\tec|dio~40_combout\ & (\tec|dio~43_combout\ & \tec|dio~38_combout\)))
7620
 
7621
-- pragma translate_off
7622
GENERIC MAP (
7623
        lut_mask => "1000000000000000",
7624
        sum_lutc_input => "datac")
7625
-- pragma translate_on
7626
PORT MAP (
7627
        dataa => \tec|dio~41_combout\,
7628
        datab => \tec|dio~40_combout\,
7629
        datac => \tec|dio~43_combout\,
7630
        datad => \tec|dio~38_combout\,
7631
        combout => \tec|dio~44_combout\);
7632
 
7633
-- Location: LCCOMB_X18_Y16_N10
7634
\tec|WideNor0~30\ : cycloneive_lcell_comb
7635
-- Equation(s):
7636
-- \tec|WideNor0~30_combout\ = (\tec|WideNor0~29_combout\) # ((\tec|WideNor0~27_combout\) # ((!\tec|Selector1~2_combout\) # (!\tec|dio~44_combout\)))
7637
 
7638
-- pragma translate_off
7639
GENERIC MAP (
7640
        lut_mask => "1110111111111111",
7641
        sum_lutc_input => "datac")
7642
-- pragma translate_on
7643
PORT MAP (
7644
        dataa => \tec|WideNor0~29_combout\,
7645
        datab => \tec|WideNor0~27_combout\,
7646
        datac => \tec|dio~44_combout\,
7647
        datad => \tec|Selector1~2_combout\,
7648
        combout => \tec|WideNor0~30_combout\);
7649
 
7650
-- Location: LCCOMB_X18_Y16_N14
7651
\tec|dio~45\ : cycloneive_lcell_comb
7652
-- Equation(s):
7653
-- \tec|dio~45_combout\ = (\tec|WideNor0~30_combout\ & (\tec|dio~44_combout\ & \dc|ce~q\))
7654
 
7655
-- pragma translate_off
7656
GENERIC MAP (
7657
        lut_mask => "1010000000000000",
7658
        sum_lutc_input => "datac")
7659
-- pragma translate_on
7660
PORT MAP (
7661
        dataa => \tec|WideNor0~30_combout\,
7662
        datac => \tec|dio~44_combout\,
7663
        datad => \dc|ce~q\,
7664
        combout => \tec|dio~45_combout\);
7665
 
7666
-- Location: FF_X16_Y16_N1
7667
\tec|dio~reg0\ : dffeas
7668
-- pragma translate_off
7669
GENERIC MAP (
7670
        is_wysiwyg => "true",
7671
        power_up => "low")
7672
-- pragma translate_on
7673
PORT MAP (
7674
        clk => \clk25~inputclkctrl_outclk\,
7675
        d => \tec|Selector1~35_combout\,
7676
        ena => \tec|dio~45_combout\,
7677
        devclrn => ww_devclrn,
7678
        devpor => ww_devpor,
7679
        q => \tec|dio~reg0_q\);
7680
 
7681
-- Location: FF_X18_Y16_N3
7682
\tec|dio~en\ : dffeas
7683
-- pragma translate_off
7684
GENERIC MAP (
7685
        is_wysiwyg => "true",
7686
        power_up => "low")
7687
-- pragma translate_on
7688
PORT MAP (
7689
        clk => \clk25~inputclkctrl_outclk\,
7690
        d => \tec|WideOr6~4_combout\,
7691
        ena => \tec|dio~45_combout\,
7692
        devclrn => ww_devclrn,
7693
        devpor => ww_devpor,
7694
        q => \tec|dio~en_q\);
7695
 
7696
-- Location: LCCOMB_X19_Y17_N16
7697
\tec|Equal136~0\ : cycloneive_lcell_comb
7698
-- Equation(s):
7699
-- \tec|Equal136~0_combout\ = (\tec|Equal128~2_combout\ & (\tec|sm_counter\(3) & (!\tec|sm_counter\(2) & \tec|Equal128~1_combout\)))
7700
 
7701
-- pragma translate_off
7702
GENERIC MAP (
7703
        lut_mask => "0000100000000000",
7704
        sum_lutc_input => "datac")
7705
-- pragma translate_on
7706
PORT MAP (
7707
        dataa => \tec|Equal128~2_combout\,
7708
        datab => \tec|sm_counter\(3),
7709
        datac => \tec|sm_counter\(2),
7710
        datad => \tec|Equal128~1_combout\,
7711
        combout => \tec|Equal136~0_combout\);
7712
 
7713
-- Location: LCCOMB_X18_Y16_N18
7714
\tec|Selector3~0\ : cycloneive_lcell_comb
7715
-- Equation(s):
7716
-- \tec|Selector3~0_combout\ = (\tec|Equal136~0_combout\) # ((\tec|clk~q\ & ((\tec|Equal2~2_combout\) # (\tec|Equal23~0_combout\))))
7717
 
7718
-- pragma translate_off
7719
GENERIC MAP (
7720
        lut_mask => "1110111011101010",
7721
        sum_lutc_input => "datac")
7722
-- pragma translate_on
7723
PORT MAP (
7724
        dataa => \tec|Equal136~0_combout\,
7725
        datab => \tec|clk~q\,
7726
        datac => \tec|Equal2~2_combout\,
7727
        datad => \tec|Equal23~0_combout\,
7728
        combout => \tec|Selector3~0_combout\);
7729
 
7730
-- Location: LCCOMB_X18_Y16_N28
7731
\tec|Selector3~9\ : cycloneive_lcell_comb
7732
-- Equation(s):
7733
-- \tec|Selector3~9_combout\ = ((\tec|Selector3~0_combout\) # (!\tec|Selector3~8_combout\)) # (!\tec|Selector3~4_combout\)
7734
 
7735
-- pragma translate_off
7736
GENERIC MAP (
7737
        lut_mask => "1111111100111111",
7738
        sum_lutc_input => "datac")
7739
-- pragma translate_on
7740
PORT MAP (
7741
        datab => \tec|Selector3~4_combout\,
7742
        datac => \tec|Selector3~8_combout\,
7743
        datad => \tec|Selector3~0_combout\,
7744
        combout => \tec|Selector3~9_combout\);
7745
 
7746
-- Location: LCCOMB_X18_Y16_N0
7747
\tec|Selector3~10\ : cycloneive_lcell_comb
7748
-- Equation(s):
7749
-- \tec|Selector3~10_combout\ = (\tec|Selector3~9_combout\) # (((!\tec|WideNor0~30_combout\ & \tec|clk~q\)) # (!\tec|dio~38_combout\))
7750
 
7751
-- pragma translate_off
7752
GENERIC MAP (
7753
        lut_mask => "1101110011111111",
7754
        sum_lutc_input => "datac")
7755
-- pragma translate_on
7756
PORT MAP (
7757
        dataa => \tec|WideNor0~30_combout\,
7758
        datab => \tec|Selector3~9_combout\,
7759
        datac => \tec|clk~q\,
7760
        datad => \tec|dio~38_combout\,
7761
        combout => \tec|Selector3~10_combout\);
7762
 
7763
-- Location: FF_X18_Y16_N1
7764
\tec|clk\ : dffeas
7765
-- pragma translate_off
7766
GENERIC MAP (
7767
        is_wysiwyg => "true",
7768
        power_up => "low")
7769
-- pragma translate_on
7770
PORT MAP (
7771
        clk => \clk25~inputclkctrl_outclk\,
7772
        d => \tec|Selector3~10_combout\,
7773
        ena => \dc|ce~q\,
7774
        devclrn => ww_devclrn,
7775
        devpor => ww_devpor,
7776
        q => \tec|clk~q\);
7777
 
7778
ww_clk <= \clk~output_o\;
7779
 
7780
ww_dio <= \dio~output_o\;
7781
END structure;
7782
 
7783
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.