OpenCores
URL https://opencores.org/ocsvn/tm1637/tm1637/trunk

Subversion Repositories tm1637

[/] [tm1637/] [trunk/] [hdl/] [intel_qp/] [dec_counter/] [tm1637.sdc] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 mongoq
## Generated SDC file "tm1637.sdc"
2
 
3
## Copyright (C) 2020  Intel Corporation. All rights reserved.
4
## Your use of Intel Corporation's design tools, logic functions
5
## and other software and tools, and any partner logic
6
## functions, and any output files from any of the foregoing
7
## (including device programming or simulation files), and any
8
## associated documentation or information are expressly subject
9
## to the terms and conditions of the Intel Program License
10
## Subscription Agreement, the Intel Quartus Prime License Agreement,
11
## the Intel FPGA IP License Agreement, or other applicable license
12
## agreement, including, without limitation, that your use is for
13
## the sole purpose of programming logic devices manufactured by
14
## Intel and sold by Intel or its authorized distributors.  Please
15
## refer to the applicable agreement for further details, at
16
## https://fpgasoftware.intel.com/eula.
17
 
18
 
19
## VENDOR  "Altera"
20
## PROGRAM "Quartus Prime"
21
## VERSION "Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition"
22
 
23
## DATE    "Mon Feb 15 22:13:43 2021"
24
 
25
##
26
## DEVICE  "EP4CE6E22C8"
27
##
28
 
29
 
30
#**************************************************************
31
# Time Information
32
#**************************************************************
33
 
34
set_time_format -unit ns -decimal_places 3
35
 
36
 
37
 
38
#**************************************************************
39
# Create Clock
40
#**************************************************************
41
 
42
#create_clock -name {clk25} -period 1.000 -waveform { 0.000 0.500 } [get_ports {clk25}]
43
create_clock -name {clk25} -period 25 -waveform { 0.000 0.500 } [get_ports {clk25}]
44
 
45
 
46
#**************************************************************
47
# Create Generated Clock
48
#**************************************************************
49
 
50
 
51
 
52
#**************************************************************
53
# Set Clock Latency
54
#**************************************************************
55
 
56
 
57
 
58
#**************************************************************
59
# Set Clock Uncertainty
60
#**************************************************************
61
 
62
set_clock_uncertainty -rise_from [get_clocks {clk25}] -rise_to [get_clocks {clk25}]  0.020
63
set_clock_uncertainty -rise_from [get_clocks {clk25}] -fall_to [get_clocks {clk25}]  0.020
64
set_clock_uncertainty -fall_from [get_clocks {clk25}] -rise_to [get_clocks {clk25}]  0.020
65
set_clock_uncertainty -fall_from [get_clocks {clk25}] -fall_to [get_clocks {clk25}]  0.020
66
 
67
 
68
#**************************************************************
69
# Set Input Delay
70
#**************************************************************
71
 
72
 
73
 
74
#**************************************************************
75
# Set Output Delay
76
#**************************************************************
77
 
78
 
79
 
80
#**************************************************************
81
# Set Clock Groups
82
#**************************************************************
83
 
84
 
85
 
86
#**************************************************************
87
# Set False Path
88
#**************************************************************
89
 
90
 
91
 
92
#**************************************************************
93
# Set Multicycle Path
94
#**************************************************************
95
 
96
 
97
 
98
#**************************************************************
99
# Set Maximum Delay
100
#**************************************************************
101
 
102
 
103
 
104
#**************************************************************
105
# Set Minimum Delay
106
#**************************************************************
107
 
108
 
109
 
110
#**************************************************************
111
# Set Input Transition
112
#**************************************************************
113
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.