OpenCores
URL https://opencores.org/ocsvn/ts7300_opencore/ts7300_opencore/trunk

Subversion Repositories ts7300_opencore

[/] [ts7300_opencore/] [trunk/] [altera_ram.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joff
// megafunction wizard: %ALTSYNCRAM%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altsyncram 
5
 
6
// ============================================================
7
// File Name: altera_ram.v
8
// Megafunction Name(s):
9
//                      altsyncram
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 6.0 Build 178 04/27/2006 SJ Web Edition
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2006 Altera Corporation
19
//Your use of Altera Corporation's design tools, logic functions 
20
//and other software and tools, and its AMPP partner logic 
21
//functions, and any output files any of the foregoing 
22
//(including device programming or simulation files), and any 
23
//associated documentation or information are expressly subject 
24
//to the terms and conditions of the Altera Program License 
25
//Subscription Agreement, Altera MegaCore Function License 
26
//Agreement, or other applicable license agreement, including, 
27
//without limitation, that your use is for the sole purpose of 
28
//programming logic devices manufactured by Altera and sold by 
29
//Altera or its authorized distributors.  Please refer to the 
30
//applicable agreement for further details.
31
 
32
 
33
// synopsys translate_off
34
`timescale 1 ps / 1 ps
35
// synopsys translate_on
36
module altera_ram (
37
        clock,
38
        data,
39
        rdaddress,
40
        wraddress,
41
        wren,
42
        q);
43
 
44
        input     clock;
45
        input   [7:0]  data;
46
        input   [10:0]  rdaddress;
47
        input   [10:0]  wraddress;
48
        input     wren;
49
        output  [7:0]  q;
50
 
51
        wire [7:0] sub_wire0;
52
        wire [7:0] q = sub_wire0[7:0];
53
 
54
        altsyncram      altsyncram_component (
55
                                .wren_a (wren),
56
                                .clock0 (clock),
57
                                .address_a (wraddress),
58
                                .address_b (rdaddress),
59
                                .data_a (data),
60
                                .q_b (sub_wire0),
61
                                .aclr0 (1'b0),
62
                                .aclr1 (1'b0),
63
                                .addressstall_a (1'b0),
64
                                .addressstall_b (1'b0),
65
                                .byteena_a (1'b1),
66
                                .byteena_b (1'b1),
67
                                .clock1 (1'b1),
68
                                .clocken0 (1'b1),
69
                                .clocken1 (1'b1),
70
                                .data_b ({8{1'b1}}),
71
                                .q_a (),
72
                                .rden_b (1'b1),
73
                                .wren_b (1'b0));
74
        defparam
75
                altsyncram_component.address_reg_b = "CLOCK0",
76
                altsyncram_component.clock_enable_input_a = "BYPASS",
77
                altsyncram_component.clock_enable_input_b = "BYPASS",
78
                altsyncram_component.clock_enable_output_b = "BYPASS",
79
                altsyncram_component.intended_device_family = "Cyclone II",
80
                altsyncram_component.lpm_type = "altsyncram",
81
                altsyncram_component.numwords_a = 2048,
82
                altsyncram_component.numwords_b = 2048,
83
                altsyncram_component.operation_mode = "DUAL_PORT",
84
                altsyncram_component.outdata_aclr_b = "NONE",
85
                altsyncram_component.outdata_reg_b = "UNREGISTERED",
86
                altsyncram_component.power_up_uninitialized = "FALSE",
87
                altsyncram_component.read_during_write_mode_mixed_ports = "DONT_CARE",
88
                altsyncram_component.widthad_a = 11,
89
                altsyncram_component.widthad_b = 11,
90
                altsyncram_component.width_a = 8,
91
                altsyncram_component.width_b = 8,
92
                altsyncram_component.width_byteena_a = 1;
93
 
94
 
95
endmodule
96
 
97
// ============================================================
98
// CNX file retrieval info
99
// ============================================================
100
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
101
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
102
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
103
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
104
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0"
105
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
106
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
107
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
108
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
109
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
110
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
111
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
112
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
113
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
114
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
115
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
116
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
117
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
118
// Retrieval info: PRIVATE: Clock NUMERIC "0"
119
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
120
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
121
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
122
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
123
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0"
124
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B"
125
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
126
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
127
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
128
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
129
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
130
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "16384"
131
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
132
// Retrieval info: PRIVATE: MIFfilename STRING ""
133
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2"
134
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
135
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
136
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
137
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
138
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
139
// Retrieval info: PRIVATE: REGq NUMERIC "1"
140
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "1"
141
// Retrieval info: PRIVATE: REGrren NUMERIC "1"
142
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
143
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
144
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
145
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
146
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "8"
147
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "8"
148
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "8"
149
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "8"
150
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
151
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0"
152
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
153
// Retrieval info: PRIVATE: enable NUMERIC "0"
154
// Retrieval info: PRIVATE: rden NUMERIC "0"
155
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0"
156
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
157
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
158
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
159
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
160
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
161
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048"
162
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048"
163
// Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT"
164
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
165
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
166
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
167
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "DONT_CARE"
168
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11"
169
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11"
170
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "8"
171
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "8"
172
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
173
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
174
// Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0]
175
// Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0]
176
// Retrieval info: USED_PORT: rdaddress 0 0 11 0 INPUT NODEFVAL rdaddress[10..0]
177
// Retrieval info: USED_PORT: wraddress 0 0 11 0 INPUT NODEFVAL wraddress[10..0]
178
// Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren
179
// Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0
180
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0
181
// Retrieval info: CONNECT: q 0 0 8 0 @q_b 0 0 8 0
182
// Retrieval info: CONNECT: @address_a 0 0 11 0 wraddress 0 0 11 0
183
// Retrieval info: CONNECT: @address_b 0 0 11 0 rdaddress 0 0 11 0
184
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0
185
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
186
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.v TRUE
187
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.inc FALSE
188
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.cmp FALSE
189
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram.bsf TRUE FALSE
190
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_inst.v FALSE
191
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_bb.v FALSE
192
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_waveforms.html FALSE
193
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_ram_wave*.jpg FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.