OpenCores
URL https://opencores.org/ocsvn/ts7300_opencore/ts7300_opencore/trunk

Subversion Repositories ts7300_opencore

[/] [ts7300_opencore/] [trunk/] [ethernet/] [eth_wishbone.v] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joff
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_wishbone.v                                              ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is available in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44
// Revision 1.58  2005/03/21 20:07:18  igorm
45
// Some small fixes + some troubles fixed.
46
//
47
// Revision 1.57  2005/02/21 11:35:33  igorm
48
// Defer indication fixed.
49
//
50
// Revision 1.56  2004/04/30 10:30:00  igorm
51
// Accidently deleted line put back.
52
//
53
// Revision 1.55  2004/04/26 15:26:23  igorm
54
// - Bug connected to the TX_BD_NUM_Wr signal fixed (bug came in with the
55
//   previous update of the core.
56
// - TxBDAddress is set to 0 after the TX is enabled in the MODER register.
57
// - RxBDAddress is set to r_TxBDNum<<1 after the RX is enabled in the MODER
58
//   register. (thanks to Mathias and Torbjorn)
59
// - Multicast reception was fixed. Thanks to Ulrich Gries
60
//
61
// Revision 1.54  2003/11/12 18:24:59  tadejm
62
// WISHBONE slave changed and tested from only 32-bit accesss to byte access.
63
//
64
// Revision 1.53  2003/10/17 07:46:17  markom
65
// mbist signals updated according to newest convention
66
//
67
// Revision 1.52  2003/01/30 14:51:31  mohor
68
// Reset has priority in some flipflops.
69
//
70
// Revision 1.51  2003/01/30 13:36:22  mohor
71
// A new bug (entered with previous update) fixed. When abort occured sometimes
72
// data transmission was blocked.
73
//
74
// Revision 1.50  2003/01/22 13:49:26  tadejm
75
// When control packets were received, they were ignored in some cases.
76
//
77
// Revision 1.49  2003/01/21 12:09:40  mohor
78
// When receiving normal data frame and RxFlow control was switched on, RXB
79
// interrupt was not set.
80
//
81
// Revision 1.48  2003/01/20 12:05:26  mohor
82
// When in full duplex, transmit was sometimes blocked. Fixed.
83
//
84
// Revision 1.47  2002/11/22 13:26:21  mohor
85
// Registers RxStatusWrite_rck and RxStatusWriteLatched were not used
86
// anywhere. Removed.
87
//
88
// Revision 1.46  2002/11/22 01:57:06  mohor
89
// Rx Flow control fixed. CF flag added to the RX buffer descriptor. RxAbort
90
// synchronized.
91
//
92
// Revision 1.45  2002/11/19 17:33:34  mohor
93
// AddressMiss status is connecting to the Rx BD. AddressMiss is identifying
94
// that a frame was received because of the promiscous mode.
95
//
96
// Revision 1.44  2002/11/13 22:21:40  tadejm
97
// RxError is not generated when small frame reception is enabled and small
98
// frames are received.
99
//
100
// Revision 1.43  2002/10/18 20:53:34  mohor
101
// case changed to casex.
102
//
103
// Revision 1.42  2002/10/18 17:04:20  tadejm
104
// Changed BIST scan signals.
105
//
106
// Revision 1.41  2002/10/18 15:42:09  tadejm
107
// Igor added WB burst support and repaired BUG when handling TX under-run and retry.
108
//
109
// Revision 1.40  2002/10/14 16:07:02  mohor
110
// TxStatus is written after last access to the TX fifo is finished (in case of abort
111
// or retry). TxDone is fixed.
112
//
113
// Revision 1.39  2002/10/11 15:35:20  mohor
114
// txfifo_cnt and rxfifo_cnt counters width is defined in the eth_define.v file,
115
// TxDone and TxRetry are generated after the current WISHBONE access is
116
// finished.
117
//
118
// Revision 1.38  2002/10/10 16:29:30  mohor
119
// BIST added.
120
//
121
// Revision 1.37  2002/09/11 14:18:46  mohor
122
// Sometimes both RxB_IRQ and RxE_IRQ were activated. Bug fixed.
123
//
124
// Revision 1.36  2002/09/10 13:48:46  mohor
125
// Reception is possible after RxPointer is read and not after BD is read. For
126
// that reason RxBDReady is changed to RxReady.
127
// Busy_IRQ interrupt connected. When there is no RxBD ready and frame
128
// comes, interrupt is generated.
129
//
130
// Revision 1.35  2002/09/10 10:35:23  mohor
131
// Ethernet debug registers removed.
132
//
133
// Revision 1.34  2002/09/08 16:31:49  mohor
134
// Async reset for WB_ACK_O removed (when core was in reset, it was
135
// impossible to access BDs).
136
// RxPointers and TxPointers names changed to be more descriptive.
137
// TxUnderRun synchronized.
138
//
139
// Revision 1.33  2002/09/04 18:47:57  mohor
140
// Debug registers reg1, 2, 3, 4 connected. Synchronization of many signals
141
// changed (bugs fixed). Access to un-alligned buffers fixed. RxAbort signal
142
// was not used OK.
143
//
144
// Revision 1.32  2002/08/14 19:31:48  mohor
145
// Register TX_BD_NUM is changed so it contains value of the Tx buffer descriptors. No
146
// need to multiply or devide any more.
147
//
148
// Revision 1.31  2002/07/25 18:29:01  mohor
149
// WriteRxDataToMemory signal changed so end of frame (when last word is
150
// written to fifo) is changed.
151
//
152
// Revision 1.30  2002/07/23 15:28:31  mohor
153
// Ram , used for BDs changed from generic_spram to eth_spram_256x32.
154
//
155
// Revision 1.29  2002/07/20 00:41:32  mohor
156
// ShiftEnded synchronization changed.
157
//
158
// Revision 1.28  2002/07/18 16:11:46  mohor
159
// RxBDAddress takes `ETH_TX_BD_NUM_DEF value after reset.
160
//
161
// Revision 1.27  2002/07/11 02:53:20  mohor
162
// RxPointer bug fixed.
163
//
164
// Revision 1.26  2002/07/10 13:12:38  mohor
165
// Previous bug wasn't succesfully removed. Now fixed.
166
//
167
// Revision 1.25  2002/07/09 23:53:24  mohor
168
// Master state machine had a bug when switching from master write to
169
// master read.
170
//
171
// Revision 1.24  2002/07/09 20:44:41  mohor
172
// m_wb_cyc_o signal released after every single transfer.
173
//
174
// Revision 1.23  2002/05/03 10:15:50  mohor
175
// Outputs registered. Reset changed for eth_wishbone module.
176
//
177
// Revision 1.22  2002/04/24 08:52:19  mohor
178
// Compiler directives added. Tx and Rx fifo size incremented. A "late collision"
179
// bug fixed.
180
//
181
// Revision 1.21  2002/03/29 16:18:11  lampret
182
// Small typo fixed.
183
//
184
// Revision 1.20  2002/03/25 16:19:12  mohor
185
// Any address can be used for Tx and Rx BD pointers. Address does not need
186
// to be aligned.
187
//
188
// Revision 1.19  2002/03/19 12:51:50  mohor
189
// Comments in Slovene language removed.
190
//
191
// Revision 1.18  2002/03/19 12:46:52  mohor
192
// casex changed with case, fifo reset changed.
193
//
194
// Revision 1.17  2002/03/09 16:08:45  mohor
195
// rx_fifo was not always cleared ok. Fixed.
196
//
197
// Revision 1.16  2002/03/09 13:51:20  mohor
198
// Status was not latched correctly sometimes. Fixed.
199
//
200
// Revision 1.15  2002/03/08 06:56:46  mohor
201
// Big Endian problem when sending frames fixed.
202
//
203
// Revision 1.14  2002/03/02 19:12:40  mohor
204
// Byte ordering changed (Big Endian used). casex changed with case because
205
// Xilinx Foundation had problems. Tested in HW. It WORKS.
206
//
207
// Revision 1.13  2002/02/26 16:59:55  mohor
208
// Small fixes for external/internal DMA missmatches.
209
//
210
// Revision 1.12  2002/02/26 16:22:07  mohor
211
// Interrupts changed
212
//
213
// Revision 1.11  2002/02/15 17:07:39  mohor
214
// Status was not written correctly when frames were discarted because of
215
// address mismatch.
216
//
217
// Revision 1.10  2002/02/15 12:17:39  mohor
218
// RxStartFrm cleared when abort or retry comes.
219
//
220
// Revision 1.9  2002/02/15 11:59:10  mohor
221
// Changes that were lost when updating from 1.5 to 1.8 fixed.
222
//
223
// Revision 1.8  2002/02/14 20:54:33  billditt
224
// Addition  of new module eth_addrcheck.v
225
//
226
// Revision 1.7  2002/02/12 17:03:47  mohor
227
// RxOverRun added to statuses.
228
//
229
// Revision 1.6  2002/02/11 09:18:22  mohor
230
// Tx status is written back to the BD.
231
//
232
// Revision 1.5  2002/02/08 16:21:54  mohor
233
// Rx status is written back to the BD.
234
//
235
// Revision 1.4  2002/02/06 14:10:21  mohor
236
// non-DMA host interface added. Select the right configutation in eth_defines.
237
//
238
// Revision 1.3  2002/02/05 16:44:39  mohor
239
// Both rx and tx part are finished. Tested with wb_clk_i between 10 and 200
240
// MHz. Statuses, overrun, control frame transmission and reception still  need
241
// to be fixed.
242
//
243
// Revision 1.2  2002/02/01 12:46:51  mohor
244
// Tx part finished. TxStatus needs to be fixed. Pause request needs to be
245
// added.
246
//
247
// Revision 1.1  2002/01/23 10:47:59  mohor
248
// Initial version. Equals to eth_wishbonedma.v at this moment.
249
//
250
//
251
//
252
 
253
`include "eth_defines.v"
254
`include "timescale.v"
255
 
256
 
257
module eth_wishbone
258
   (
259
 
260
    // WISHBONE common
261
    WB_CLK_I, WB_DAT_I, WB_DAT_O,
262
 
263
    // WISHBONE slave
264
                WB_ADR_I, WB_WE_I, WB_ACK_O,
265
    BDCs,
266
 
267
    Reset,
268
 
269
    // WISHBONE master
270
    m_wb_adr_o, m_wb_sel_o, m_wb_we_o,
271
    m_wb_dat_o, m_wb_dat_i, m_wb_cyc_o,
272
    m_wb_stb_o, m_wb_ack_i, m_wb_err_i,
273
 
274
`ifdef ETH_WISHBONE_B3
275
    m_wb_cti_o, m_wb_bte_o,
276
`endif
277
 
278
    //TX
279
    MTxClk, TxStartFrm, TxEndFrm, TxUsedData, TxData,
280
    TxRetry, TxAbort, TxUnderRun, TxDone, PerPacketCrcEn,
281
    PerPacketPad,
282
 
283
    //RX
284
    MRxClk, RxData, RxValid, RxStartFrm, RxEndFrm, RxAbort, RxStatusWriteLatched_sync2,
285
 
286
    // Register
287
    r_TxEn, r_RxEn, r_TxBDNum, r_RxFlow, r_PassAll,
288
 
289
    // Interrupts
290
    TxB_IRQ, TxE_IRQ, RxB_IRQ, RxE_IRQ, Busy_IRQ,
291
 
292
    // Rx Status
293
    InvalidSymbol, LatchedCrcError, RxLateCollision, ShortFrame, DribbleNibble,
294
    ReceivedPacketTooBig, RxLength, LoadRxStatus, ReceivedPacketGood, AddressMiss,
295
    ReceivedPauseFrm,
296
 
297
    // Tx Status
298
    RetryCntLatched, RetryLimit, LateCollLatched, DeferLatched, RstDeferLatched, CarrierSenseLost
299
 
300
    // Bist
301
`ifdef ETH_BIST
302
    ,
303
    // debug chain signals
304
    mbist_si_i,       // bist scan serial in
305
    mbist_so_o,       // bist scan serial out
306
    mbist_ctrl_i        // bist chain shift control
307
`endif
308
 
309
 
310
 
311
                );
312
 
313
 
314
parameter Tp = 1;
315
 
316
 
317
// WISHBONE common
318
input           WB_CLK_I;       // WISHBONE clock
319
input  [31:0]   WB_DAT_I;       // WISHBONE data input
320
output [31:0]   WB_DAT_O;       // WISHBONE data output
321
 
322
// WISHBONE slave
323
input   [9:2]   WB_ADR_I;       // WISHBONE address input
324
input           WB_WE_I;        // WISHBONE write enable input
325
input   [3:0]   BDCs;           // Buffer descriptors are selected
326
output          WB_ACK_O;       // WISHBONE acknowledge output
327
 
328
// WISHBONE master
329
output  [29:0]  m_wb_adr_o;     // 
330
output   [3:0]  m_wb_sel_o;     // 
331
output          m_wb_we_o;      // 
332
output  [31:0]  m_wb_dat_o;     // 
333
output          m_wb_cyc_o;     // 
334
output          m_wb_stb_o;     // 
335
input   [31:0]  m_wb_dat_i;     // 
336
input           m_wb_ack_i;     // 
337
input           m_wb_err_i;     // 
338
 
339
`ifdef ETH_WISHBONE_B3
340
output   [2:0]  m_wb_cti_o;     // Cycle Type Identifier
341
output   [1:0]  m_wb_bte_o;     // Burst Type Extension
342
reg      [2:0]  m_wb_cti_o;     // Cycle Type Identifier
343
`endif
344
 
345
input           Reset;       // Reset signal
346
 
347
// Rx Status signals
348
input           InvalidSymbol;    // Invalid symbol was received during reception in 100 Mbps mode
349
input           LatchedCrcError;  // CRC error
350
input           RxLateCollision;  // Late collision occured while receiving frame
351
input           ShortFrame;       // Frame shorter then the minimum size (r_MinFL) was received while small packets are enabled (r_RecSmall)
352
input           DribbleNibble;    // Extra nibble received
353
input           ReceivedPacketTooBig;// Received packet is bigger than r_MaxFL
354
input    [15:0] RxLength;         // Length of the incoming frame
355
input           LoadRxStatus;     // Rx status was loaded
356
input           ReceivedPacketGood;// Received packet's length and CRC are good
357
input           AddressMiss;      // When a packet is received AddressMiss status is written to the Rx BD
358
input           r_RxFlow;
359
input           r_PassAll;
360
input           ReceivedPauseFrm;
361
 
362
// Tx Status signals
363
input     [3:0] RetryCntLatched;  // Latched Retry Counter
364
input           RetryLimit;       // Retry limit reached (Retry Max value + 1 attempts were made)
365
input           LateCollLatched;  // Late collision occured
366
input           DeferLatched;     // Defer indication (Frame was defered before sucessfully sent)
367
output          RstDeferLatched;
368
input           CarrierSenseLost; // Carrier Sense was lost during the frame transmission
369
 
370
// Tx
371
input           MTxClk;         // Transmit clock (from PHY)
372
input           TxUsedData;     // Transmit packet used data
373
input           TxRetry;        // Transmit packet retry
374
input           TxAbort;        // Transmit packet abort
375
input           TxDone;         // Transmission ended
376
output          TxStartFrm;     // Transmit packet start frame
377
output          TxEndFrm;       // Transmit packet end frame
378
output  [7:0]   TxData;         // Transmit packet data byte
379
output          TxUnderRun;     // Transmit packet under-run
380
output          PerPacketCrcEn; // Per packet crc enable
381
output          PerPacketPad;   // Per packet pading
382
 
383
// Rx
384
input           MRxClk;         // Receive clock (from PHY)
385
input   [7:0]   RxData;         // Received data byte (from PHY)
386
input           RxValid;        // 
387
input           RxStartFrm;     // 
388
input           RxEndFrm;       // 
389
input           RxAbort;        // This signal is set when address doesn't match.
390
output          RxStatusWriteLatched_sync2;
391
 
392
//Register
393
input           r_TxEn;         // Transmit enable
394
input           r_RxEn;         // Receive enable
395
input   [7:0]   r_TxBDNum;      // Receive buffer descriptor number
396
 
397
// Interrupts
398
output TxB_IRQ;
399
output TxE_IRQ;
400
output RxB_IRQ;
401
output RxE_IRQ;
402
output Busy_IRQ;
403
 
404
 
405
// Bist
406
`ifdef ETH_BIST
407
input   mbist_si_i;       // bist scan serial in
408
output  mbist_so_o;       // bist scan serial out
409
input [`ETH_MBIST_CTRL_WIDTH - 1:0] mbist_ctrl_i;       // bist chain shift control
410
`endif
411
 
412
reg TxB_IRQ;
413
reg TxE_IRQ;
414
reg RxB_IRQ;
415
reg RxE_IRQ;
416
 
417
reg             TxStartFrm;
418
reg             TxEndFrm;
419
reg     [7:0]   TxData;
420
 
421
reg             TxUnderRun;
422
reg             TxUnderRun_wb;
423
 
424
reg             TxBDRead;
425
wire            TxStatusWrite;
426
 
427
reg     [1:0]   TxValidBytesLatched;
428
 
429
reg    [15:0]   TxLength;
430
reg    [15:0]   LatchedTxLength;
431
reg   [14:11]   TxStatus;
432
 
433
reg   [14:13]   RxStatus;
434
 
435
reg             TxStartFrm_wb;
436
reg             TxRetry_wb;
437
reg             TxAbort_wb;
438
reg             TxDone_wb;
439
 
440
reg             TxDone_wb_q;
441
reg             TxAbort_wb_q;
442
reg             TxRetry_wb_q;
443
reg             TxRetryPacket;
444
reg             TxRetryPacket_NotCleared;
445
reg             TxDonePacket;
446
reg             TxDonePacket_NotCleared;
447
reg             TxAbortPacket;
448
reg             TxAbortPacket_NotCleared;
449
reg             RxBDReady;
450
reg             RxReady;
451
reg             TxBDReady;
452
 
453
reg             RxBDRead;
454
 
455
reg    [31:0]   TxDataLatched;
456
reg     [1:0]   TxByteCnt;
457
reg             LastWord;
458
reg             ReadTxDataFromFifo_tck;
459
 
460
reg             BlockingTxStatusWrite;
461
reg             BlockingTxBDRead;
462
 
463
reg             Flop;
464
 
465
reg     [7:1]   TxBDAddress;
466
reg     [7:1]   RxBDAddress;
467
 
468
reg             TxRetrySync1;
469
reg             TxAbortSync1;
470
reg             TxDoneSync1;
471
 
472
reg             TxAbort_q;
473
reg             TxRetry_q;
474
reg             TxUsedData_q;
475
 
476
reg    [31:0]   RxDataLatched2;
477
 
478
reg    [31:8]   RxDataLatched1;     // Big Endian Byte Ordering
479
 
480
reg     [1:0]   RxValidBytes;
481
reg     [1:0]   RxByteCnt;
482
reg             LastByteIn;
483
reg             ShiftWillEnd;
484
 
485
reg             WriteRxDataToFifo;
486
reg    [15:0]   LatchedRxLength;
487
reg             RxAbortLatched;
488
 
489
reg             ShiftEnded;
490
reg             RxOverrun;
491
 
492
reg     [3:0]   BDWrite;                    // BD Write Enable for access from WISHBONE side
493
reg             BDRead;                     // BD Read access from WISHBONE side
494
wire   [31:0]   RxBDDataIn;                 // Rx BD data in
495
wire   [31:0]   TxBDDataIn;                 // Tx BD data in
496
 
497
reg             TxEndFrm_wb;
498
 
499
wire            TxRetryPulse;
500
wire            TxDonePulse;
501
wire            TxAbortPulse;
502
 
503
wire            StartRxBDRead;
504
 
505
wire            StartTxBDRead;
506
 
507
wire            TxIRQEn;
508
wire            WrapTxStatusBit;
509
 
510
wire            RxIRQEn;
511
wire            WrapRxStatusBit;
512
 
513
wire    [1:0]   TxValidBytes;
514
 
515
wire    [7:1]   TempTxBDAddress;
516
wire    [7:1]   TempRxBDAddress;
517
 
518
wire            RxStatusWrite;
519
wire            RxBufferFull;
520
wire            RxBufferAlmostEmpty;
521
wire            RxBufferEmpty;
522
 
523
reg             WB_ACK_O;
524
 
525
wire    [8:0]   RxStatusIn;
526
reg     [8:0]   RxStatusInLatched;
527
 
528
reg WbEn, WbEn_q;
529
reg RxEn, RxEn_q;
530
reg TxEn, TxEn_q;
531
reg r_TxEn_q;
532
reg r_RxEn_q;
533
 
534
wire ram_ce;
535
wire [3:0]  ram_we;
536
wire ram_oe;
537
reg [7:0]   ram_addr;
538
reg [31:0]  ram_di;
539
wire [31:0] ram_do;
540
 
541
wire StartTxPointerRead;
542
reg  TxPointerRead;
543
reg TxEn_needed;
544
reg RxEn_needed;
545
 
546
wire StartRxPointerRead;
547
reg RxPointerRead;
548
 
549
`ifdef ETH_WISHBONE_B3
550
assign m_wb_bte_o = 2'b00;    // Linear burst
551
`endif
552
 
553
assign m_wb_stb_o = m_wb_cyc_o;
554
 
555
always @ (posedge WB_CLK_I)
556
begin
557
  WB_ACK_O <=#Tp (|BDWrite) & WbEn & WbEn_q | BDRead & WbEn & ~WbEn_q;
558
end
559
 
560
assign WB_DAT_O = ram_do;
561
 
562
// Generic synchronous single-port RAM interface
563
eth_spram_256x32 bd_ram (
564
        .clk(WB_CLK_I), .rst(Reset), .ce(ram_ce), .we(ram_we), .oe(ram_oe), .addr(ram_addr), .di(ram_di), .do(ram_do)
565
`ifdef ETH_BIST
566
  ,
567
  .mbist_si_i       (mbist_si_i),
568
  .mbist_so_o       (mbist_so_o),
569
  .mbist_ctrl_i       (mbist_ctrl_i)
570
`endif
571
);
572
 
573
assign ram_ce = 1'b1;
574
assign ram_we = (BDWrite & {4{(WbEn & WbEn_q)}}) | {4{(TxStatusWrite | RxStatusWrite)}};
575
assign ram_oe = BDRead & WbEn & WbEn_q | TxEn & TxEn_q & (TxBDRead | TxPointerRead) | RxEn & RxEn_q & (RxBDRead | RxPointerRead);
576
 
577
 
578
always @ (posedge WB_CLK_I or posedge Reset)
579
begin
580
  if(Reset)
581
    TxEn_needed <=#Tp 1'b0;
582
  else
583
  if(~TxBDReady & r_TxEn & WbEn & ~WbEn_q)
584
    TxEn_needed <=#Tp 1'b1;
585
  else
586
  if(TxPointerRead & TxEn & TxEn_q)
587
    TxEn_needed <=#Tp 1'b0;
588
end
589
 
590
// Enabling access to the RAM for three devices.
591
always @ (posedge WB_CLK_I or posedge Reset)
592
begin
593
  if(Reset)
594
    begin
595
      WbEn <=#Tp 1'b1;
596
      RxEn <=#Tp 1'b0;
597
      TxEn <=#Tp 1'b0;
598
      ram_addr <=#Tp 8'h0;
599
      ram_di <=#Tp 32'h0;
600
      BDRead <=#Tp 1'b0;
601
      BDWrite <=#Tp 1'b0;
602
    end
603
  else
604
    begin
605
      // Switching between three stages depends on enable signals
606
      case ({WbEn_q, RxEn_q, TxEn_q, RxEn_needed, TxEn_needed})  // synopsys parallel_case
607
        5'b100_10, 5'b100_11 :
608
          begin
609
            WbEn <=#Tp 1'b0;
610
            RxEn <=#Tp 1'b1;  // wb access stage and r_RxEn is enabled
611
            TxEn <=#Tp 1'b0;
612
            ram_addr <=#Tp {RxBDAddress, RxPointerRead};
613
            ram_di <=#Tp RxBDDataIn;
614
          end
615
        5'b100_01 :
616
          begin
617
            WbEn <=#Tp 1'b0;
618
            RxEn <=#Tp 1'b0;
619
            TxEn <=#Tp 1'b1;  // wb access stage, r_RxEn is disabled but r_TxEn is enabled
620
            ram_addr <=#Tp {TxBDAddress, TxPointerRead};
621
            ram_di <=#Tp TxBDDataIn;
622
          end
623
        5'b010_00, 5'b010_10 :
624
          begin
625
            WbEn <=#Tp 1'b1;  // RxEn access stage and r_TxEn is disabled
626
            RxEn <=#Tp 1'b0;
627
            TxEn <=#Tp 1'b0;
628
            ram_addr <=#Tp WB_ADR_I[9:2];
629
            ram_di <=#Tp WB_DAT_I;
630
            BDWrite <=#Tp BDCs[3:0] & {4{WB_WE_I}};
631
            BDRead <=#Tp (|BDCs) & ~WB_WE_I;
632
          end
633
        5'b010_01, 5'b010_11 :
634
          begin
635
            WbEn <=#Tp 1'b0;
636
            RxEn <=#Tp 1'b0;
637
            TxEn <=#Tp 1'b1;  // RxEn access stage and r_TxEn is enabled
638
            ram_addr <=#Tp {TxBDAddress, TxPointerRead};
639
            ram_di <=#Tp TxBDDataIn;
640
          end
641
        5'b001_00, 5'b001_01, 5'b001_10, 5'b001_11 :
642
          begin
643
            WbEn <=#Tp 1'b1;  // TxEn access stage (we always go to wb access stage)
644
            RxEn <=#Tp 1'b0;
645
            TxEn <=#Tp 1'b0;
646
            ram_addr <=#Tp WB_ADR_I[9:2];
647
            ram_di <=#Tp WB_DAT_I;
648
            BDWrite <=#Tp BDCs[3:0] & {4{WB_WE_I}};
649
            BDRead <=#Tp (|BDCs) & ~WB_WE_I;
650
          end
651
        5'b100_00 :
652
          begin
653
            WbEn <=#Tp 1'b0;  // WbEn access stage and there is no need for other stages. WbEn needs to be switched off for a bit
654
          end
655
        5'b000_00 :
656
          begin
657
            WbEn <=#Tp 1'b1;  // Idle state. We go to WbEn access stage.
658
            RxEn <=#Tp 1'b0;
659
            TxEn <=#Tp 1'b0;
660
            ram_addr <=#Tp WB_ADR_I[9:2];
661
            ram_di <=#Tp WB_DAT_I;
662
            BDWrite <=#Tp BDCs[3:0] & {4{WB_WE_I}};
663
            BDRead <=#Tp (|BDCs) & ~WB_WE_I;
664
          end
665
      endcase
666
    end
667
end
668
 
669
 
670
// Delayed stage signals
671
always @ (posedge WB_CLK_I or posedge Reset)
672
begin
673
  if(Reset)
674
    begin
675
      WbEn_q <=#Tp 1'b0;
676
      RxEn_q <=#Tp 1'b0;
677
      TxEn_q <=#Tp 1'b0;
678
      r_TxEn_q <=#Tp 1'b0;
679
      r_RxEn_q <=#Tp 1'b0;
680
    end
681
  else
682
    begin
683
      WbEn_q <=#Tp WbEn;
684
      RxEn_q <=#Tp RxEn;
685
      TxEn_q <=#Tp TxEn;
686
      r_TxEn_q <=#Tp r_TxEn;
687
      r_RxEn_q <=#Tp r_RxEn;
688
    end
689
end
690
 
691
// Changes for tx occur every second clock. Flop is used for this manner.
692
always @ (posedge MTxClk or posedge Reset)
693
begin
694
  if(Reset)
695
    Flop <=#Tp 1'b0;
696
  else
697
  if(TxDone | TxAbort | TxRetry_q)
698
    Flop <=#Tp 1'b0;
699
  else
700
  if(TxUsedData)
701
    Flop <=#Tp ~Flop;
702
end
703
 
704
wire ResetTxBDReady;
705
assign ResetTxBDReady = TxDonePulse | TxAbortPulse | TxRetryPulse;
706
 
707
// Latching READY status of the Tx buffer descriptor
708
always @ (posedge WB_CLK_I or posedge Reset)
709
begin
710
  if(Reset)
711
    TxBDReady <=#Tp 1'b0;
712
  else
713
  if(TxEn & TxEn_q & TxBDRead)
714
    TxBDReady <=#Tp ram_do[15] & (ram_do[31:16] > 4); // TxBDReady is sampled only once at the beginning.
715
  else                                                // Only packets larger then 4 bytes are transmitted.
716
  if(ResetTxBDReady)
717
    TxBDReady <=#Tp 1'b0;
718
end
719
 
720
 
721
// Reading the Tx buffer descriptor
722
assign StartTxBDRead = (TxRetryPacket_NotCleared | TxStatusWrite) & ~BlockingTxBDRead & ~TxBDReady;
723
 
724
always @ (posedge WB_CLK_I or posedge Reset)
725
begin
726
  if(Reset)
727
    TxBDRead <=#Tp 1'b1;
728
  else
729
  if(StartTxBDRead)
730
    TxBDRead <=#Tp 1'b1;
731
  else
732
  if(TxBDReady)
733
    TxBDRead <=#Tp 1'b0;
734
end
735
 
736
 
737
// Reading Tx BD pointer
738
assign StartTxPointerRead = TxBDRead & TxBDReady;
739
 
740
// Reading Tx BD Pointer
741
always @ (posedge WB_CLK_I or posedge Reset)
742
begin
743
  if(Reset)
744
    TxPointerRead <=#Tp 1'b0;
745
  else
746
  if(StartTxPointerRead)
747
    TxPointerRead <=#Tp 1'b1;
748
  else
749
  if(TxEn_q)
750
    TxPointerRead <=#Tp 1'b0;
751
end
752
 
753
 
754
// Writing status back to the Tx buffer descriptor
755
assign TxStatusWrite = (TxDonePacket_NotCleared | TxAbortPacket_NotCleared) & TxEn & TxEn_q & ~BlockingTxStatusWrite;
756
 
757
 
758
 
759
// Status writing must occur only once. Meanwhile it is blocked.
760
always @ (posedge WB_CLK_I or posedge Reset)
761
begin
762
  if(Reset)
763
    BlockingTxStatusWrite <=#Tp 1'b0;
764
  else
765
  if(~TxDone_wb & ~TxAbort_wb)
766
    BlockingTxStatusWrite <=#Tp 1'b0;
767
  else
768
  if(TxStatusWrite)
769
    BlockingTxStatusWrite <=#Tp 1'b1;
770
end
771
 
772
 
773
reg BlockingTxStatusWrite_sync1;
774
reg BlockingTxStatusWrite_sync2;
775
reg BlockingTxStatusWrite_sync3;
776
 
777
// Synchronizing BlockingTxStatusWrite to MTxClk
778
always @ (posedge MTxClk or posedge Reset)
779
begin
780
  if(Reset)
781
    BlockingTxStatusWrite_sync1 <=#Tp 1'b0;
782
  else
783
    BlockingTxStatusWrite_sync1 <=#Tp BlockingTxStatusWrite;
784
end
785
 
786
// Synchronizing BlockingTxStatusWrite to MTxClk
787
always @ (posedge MTxClk or posedge Reset)
788
begin
789
  if(Reset)
790
    BlockingTxStatusWrite_sync2 <=#Tp 1'b0;
791
  else
792
    BlockingTxStatusWrite_sync2 <=#Tp BlockingTxStatusWrite_sync1;
793
end
794
 
795
// Synchronizing BlockingTxStatusWrite to MTxClk
796
always @ (posedge MTxClk or posedge Reset)
797
begin
798
  if(Reset)
799
    BlockingTxStatusWrite_sync3 <=#Tp 1'b0;
800
  else
801
    BlockingTxStatusWrite_sync3 <=#Tp BlockingTxStatusWrite_sync2;
802
end
803
 
804
assign RstDeferLatched = BlockingTxStatusWrite_sync2 & ~BlockingTxStatusWrite_sync3;
805
 
806
// TxBDRead state is activated only once. 
807
always @ (posedge WB_CLK_I or posedge Reset)
808
begin
809
  if(Reset)
810
    BlockingTxBDRead <=#Tp 1'b0;
811
  else
812
  if(StartTxBDRead)
813
    BlockingTxBDRead <=#Tp 1'b1;
814
  else
815
  if(~StartTxBDRead & ~TxBDReady)
816
    BlockingTxBDRead <=#Tp 1'b0;
817
end
818
 
819
 
820
// Latching status from the tx buffer descriptor
821
// Data is avaliable one cycle after the access is started (at that time signal TxEn is not active)
822
always @ (posedge WB_CLK_I or posedge Reset)
823
begin
824
  if(Reset)
825
    TxStatus <=#Tp 4'h0;
826
  else
827
  if(TxEn & TxEn_q & TxBDRead)
828
    TxStatus <=#Tp ram_do[14:11];
829
end
830
 
831
reg ReadTxDataFromMemory;
832
wire WriteRxDataToMemory;
833
 
834
reg MasterWbTX;
835
reg MasterWbRX;
836
 
837
reg [29:0] m_wb_adr_o;
838
reg        m_wb_cyc_o;
839
reg  [3:0] m_wb_sel_o;
840
reg        m_wb_we_o;
841
 
842
wire TxLengthEq0;
843
wire TxLengthLt4;
844
 
845
reg BlockingIncrementTxPointer;
846
reg [31:2] TxPointerMSB;
847
reg [1:0]  TxPointerLSB;
848
reg [1:0]  TxPointerLSB_rst;
849
reg [31:2] RxPointerMSB;
850
reg [1:0]  RxPointerLSB_rst;
851
 
852
wire RxBurstAcc;
853
wire RxWordAcc;
854
wire RxHalfAcc;
855
wire RxByteAcc;
856
 
857
//Latching length from the buffer descriptor;
858
always @ (posedge WB_CLK_I or posedge Reset)
859
begin
860
  if(Reset)
861
    TxLength <=#Tp 16'h0;
862
  else
863
  if(TxEn & TxEn_q & TxBDRead)
864
    TxLength <=#Tp ram_do[31:16];
865
  else
866
  if(MasterWbTX & m_wb_ack_i)
867
    begin
868
      if(TxLengthLt4)
869
        TxLength <=#Tp 16'h0;
870
      else
871
      if(TxPointerLSB_rst==2'h0)
872
        TxLength <=#Tp TxLength - 3'h4;    // Length is subtracted at the data request
873
      else
874
      if(TxPointerLSB_rst==2'h1)
875
        TxLength <=#Tp TxLength - 3'h3;    // Length is subtracted at the data request
876
      else
877
      if(TxPointerLSB_rst==2'h2)
878
        TxLength <=#Tp TxLength - 3'h2;    // Length is subtracted at the data request
879
      else
880
      if(TxPointerLSB_rst==2'h3)
881
        TxLength <=#Tp TxLength - 3'h1;    // Length is subtracted at the data request
882
    end
883
end
884
 
885
 
886
 
887
//Latching length from the buffer descriptor;
888
always @ (posedge WB_CLK_I or posedge Reset)
889
begin
890
  if(Reset)
891
    LatchedTxLength <=#Tp 16'h0;
892
  else
893
  if(TxEn & TxEn_q & TxBDRead)
894
    LatchedTxLength <=#Tp ram_do[31:16];
895
end
896
 
897
assign TxLengthEq0 = TxLength == 0;
898
assign TxLengthLt4 = TxLength < 4;
899
 
900
reg cyc_cleared;
901
reg IncrTxPointer;
902
 
903
 
904
// Latching Tx buffer pointer from buffer descriptor. Only 30 MSB bits are latched
905
// because TxPointerMSB is only used for word-aligned accesses.
906
always @ (posedge WB_CLK_I or posedge Reset)
907
begin
908
  if(Reset)
909
    TxPointerMSB <=#Tp 30'h0;
910
  else
911
  if(TxEn & TxEn_q & TxPointerRead)
912
    TxPointerMSB <=#Tp ram_do[31:2];
913
  else
914
  if(IncrTxPointer & ~BlockingIncrementTxPointer)
915
    TxPointerMSB <=#Tp TxPointerMSB + 1'b1;     // TxPointer is word-aligned
916
end
917
 
918
 
919
// Latching 2 MSB bits of the buffer descriptor. Since word accesses are performed,
920
// valid data does not necesserly start at byte 0 (could be byte 0, 1, 2 or 3). This
921
// signals are used for proper selection of the start byte (TxData and TxByteCnt) are
922
// set by this two bits.
923
always @ (posedge WB_CLK_I or posedge Reset)
924
begin
925
  if(Reset)
926
    TxPointerLSB[1:0] <=#Tp 0;
927
  else
928
  if(TxEn & TxEn_q & TxPointerRead)
929
    TxPointerLSB[1:0] <=#Tp ram_do[1:0];
930
end
931
 
932
 
933
// Latching 2 MSB bits of the buffer descriptor. 
934
// After the read access, TxLength needs to be decremented for the number of the valid
935
// bytes (1 to 4 bytes are valid in the first word). After the first read all bytes are 
936
// valid so this two bits are reset to zero. 
937
always @ (posedge WB_CLK_I or posedge Reset)
938
begin
939
  if(Reset)
940
    TxPointerLSB_rst[1:0] <=#Tp 0;
941
  else
942
  if(TxEn & TxEn_q & TxPointerRead)
943
    TxPointerLSB_rst[1:0] <=#Tp ram_do[1:0];
944
  else
945
  if(MasterWbTX & m_wb_ack_i)                 // After first access pointer is word alligned
946
    TxPointerLSB_rst[1:0] <=#Tp 0;
947
end
948
 
949
 
950
reg  [3:0] RxByteSel;
951
wire MasterAccessFinished;
952
 
953
 
954
always @ (posedge WB_CLK_I or posedge Reset)
955
begin
956
  if(Reset)
957
    BlockingIncrementTxPointer <=#Tp 0;
958
  else
959
  if(MasterAccessFinished)
960
    BlockingIncrementTxPointer <=#Tp 0;
961
  else
962
  if(IncrTxPointer)
963
    BlockingIncrementTxPointer <=#Tp 1'b1;
964
end
965
 
966
 
967
wire TxBufferAlmostFull;
968
wire TxBufferFull;
969
wire TxBufferEmpty;
970
wire TxBufferAlmostEmpty;
971
wire SetReadTxDataFromMemory;
972
 
973
reg BlockReadTxDataFromMemory;
974
 
975
assign SetReadTxDataFromMemory = TxEn & TxEn_q & TxPointerRead;
976
 
977
always @ (posedge WB_CLK_I or posedge Reset)
978
begin
979
  if(Reset)
980
    ReadTxDataFromMemory <=#Tp 1'b0;
981
  else
982
  if(TxLengthEq0 | TxAbortPulse | TxRetryPulse)
983
    ReadTxDataFromMemory <=#Tp 1'b0;
984
  else
985
  if(SetReadTxDataFromMemory)
986
    ReadTxDataFromMemory <=#Tp 1'b1;
987
end
988
 
989
reg tx_burst_en;
990
reg rx_burst_en;
991
 
992
wire ReadTxDataFromMemory_2 = ReadTxDataFromMemory & ~BlockReadTxDataFromMemory;
993
wire tx_burst = ReadTxDataFromMemory_2 & tx_burst_en;
994
 
995
wire [31:0] TxData_wb;
996
wire ReadTxDataFromFifo_wb;
997
 
998
always @ (posedge WB_CLK_I or posedge Reset)
999
begin
1000
  if(Reset)
1001
    BlockReadTxDataFromMemory <=#Tp 1'b0;
1002
  else
1003
  if((TxBufferAlmostFull | TxLength <= 4)& MasterWbTX & (~cyc_cleared) & (!(TxAbortPacket_NotCleared | TxRetryPacket_NotCleared)))
1004
    BlockReadTxDataFromMemory <=#Tp 1'b1;
1005
  else
1006
  if(ReadTxDataFromFifo_wb | TxDonePacket | TxAbortPacket | TxRetryPacket)
1007
    BlockReadTxDataFromMemory <=#Tp 1'b0;
1008
end
1009
 
1010
 
1011
assign MasterAccessFinished = m_wb_ack_i | m_wb_err_i;
1012
wire [`ETH_TX_FIFO_CNT_WIDTH-1:0] txfifo_cnt;
1013
wire [`ETH_RX_FIFO_CNT_WIDTH-1:0] rxfifo_cnt;
1014
reg  [`ETH_BURST_CNT_WIDTH-1:0] tx_burst_cnt;
1015
reg  [`ETH_BURST_CNT_WIDTH-1:0] rx_burst_cnt;
1016
 
1017
wire rx_burst;
1018
wire enough_data_in_rxfifo_for_burst;
1019
wire enough_data_in_rxfifo_for_burst_plus1;
1020
 
1021
// Enabling master wishbone access to the memory for two devices TX and RX.
1022
always @ (posedge WB_CLK_I or posedge Reset)
1023
begin
1024
  if(Reset)
1025
    begin
1026
      MasterWbTX <=#Tp 1'b0;
1027
      MasterWbRX <=#Tp 1'b0;
1028
      m_wb_adr_o <=#Tp 30'h0;
1029
      m_wb_cyc_o <=#Tp 1'b0;
1030
      m_wb_we_o  <=#Tp 1'b0;
1031
      m_wb_sel_o <=#Tp 4'h0;
1032
      cyc_cleared<=#Tp 1'b0;
1033
      tx_burst_cnt<=#Tp 0;
1034
      rx_burst_cnt<=#Tp 0;
1035
      IncrTxPointer<=#Tp 1'b0;
1036
      tx_burst_en<=#Tp 1'b1;
1037
      rx_burst_en<=#Tp 1'b0;
1038
      `ifdef ETH_WISHBONE_B3
1039
        m_wb_cti_o <=#Tp 3'b0;
1040
      `endif
1041
    end
1042
  else
1043
    begin
1044
      // Switching between two stages depends on enable signals
1045
      casex ({MasterWbTX, MasterWbRX, ReadTxDataFromMemory_2, WriteRxDataToMemory, MasterAccessFinished, cyc_cleared, tx_burst, rx_burst})  // synopsys parallel_case
1046
        8'b00_10_00_10,             // Idle and MRB needed
1047
        8'b10_1x_10_1x,             // MRB continues
1048
        8'b10_10_01_10,             // Clear (previously MR) and MRB needed
1049
        8'b01_1x_01_1x :            // Clear (previously MW) and MRB needed
1050
          begin
1051
            MasterWbTX <=#Tp 1'b1;  // tx burst
1052
            MasterWbRX <=#Tp 1'b0;
1053
            m_wb_cyc_o <=#Tp 1'b1;
1054
            m_wb_we_o  <=#Tp 1'b0;
1055
            m_wb_sel_o <=#Tp 4'hf;
1056
            cyc_cleared<=#Tp 1'b0;
1057
            IncrTxPointer<=#Tp 1'b1;
1058
            tx_burst_cnt <=#Tp tx_burst_cnt+3'h1;
1059
            if(tx_burst_cnt==0)
1060
              m_wb_adr_o <=#Tp TxPointerMSB;
1061
            else
1062
              m_wb_adr_o <=#Tp m_wb_adr_o+1'b1;
1063
 
1064
            if(tx_burst_cnt==(`ETH_BURST_LENGTH-1))
1065
              begin
1066
                tx_burst_en<=#Tp 1'b0;
1067
              `ifdef ETH_WISHBONE_B3
1068
                m_wb_cti_o <=#Tp 3'b111;
1069
              `endif
1070
              end
1071
            else
1072
              begin
1073
              `ifdef ETH_WISHBONE_B3
1074
                m_wb_cti_o <=#Tp 3'b010;
1075
              `endif
1076
              end
1077
          end
1078
        8'b00_x1_00_x1,             // Idle and MWB needed
1079
        8'b01_x1_10_x1,             // MWB continues
1080
        8'b01_01_01_01,             // Clear (previously MW) and MWB needed
1081
        8'b10_x1_01_x1 :            // Clear (previously MR) and MWB needed
1082
          begin
1083
            MasterWbTX <=#Tp 1'b0;  // rx burst
1084
            MasterWbRX <=#Tp 1'b1;
1085
            m_wb_cyc_o <=#Tp 1'b1;
1086
            m_wb_we_o  <=#Tp 1'b1;
1087
            m_wb_sel_o <=#Tp RxByteSel;
1088
            IncrTxPointer<=#Tp 1'b0;
1089
            cyc_cleared<=#Tp 1'b0;
1090
            rx_burst_cnt <=#Tp rx_burst_cnt+3'h1;
1091
 
1092
            if(rx_burst_cnt==0)
1093
              m_wb_adr_o <=#Tp RxPointerMSB;
1094
            else
1095
              m_wb_adr_o <=#Tp m_wb_adr_o+1'b1;
1096
 
1097
            if(rx_burst_cnt==(`ETH_BURST_LENGTH-1))
1098
              begin
1099
                rx_burst_en<=#Tp 1'b0;
1100
              `ifdef ETH_WISHBONE_B3
1101
                m_wb_cti_o <=#Tp 3'b111;
1102
              `endif
1103
              end
1104
            else
1105
              begin
1106
              `ifdef ETH_WISHBONE_B3
1107
                m_wb_cti_o <=#Tp 3'b010;
1108
              `endif
1109
              end
1110
          end
1111
        8'b00_x1_00_x0 :            // idle and MW is needed (data write to rx buffer)
1112
          begin
1113
            MasterWbTX <=#Tp 1'b0;
1114
            MasterWbRX <=#Tp 1'b1;
1115
            m_wb_adr_o <=#Tp RxPointerMSB;
1116
            m_wb_cyc_o <=#Tp 1'b1;
1117
            m_wb_we_o  <=#Tp 1'b1;
1118
            m_wb_sel_o <=#Tp RxByteSel;
1119
            IncrTxPointer<=#Tp 1'b0;
1120
          end
1121
        8'b00_10_00_00 :            // idle and MR is needed (data read from tx buffer)
1122
          begin
1123
            MasterWbTX <=#Tp 1'b1;
1124
            MasterWbRX <=#Tp 1'b0;
1125
            m_wb_adr_o <=#Tp TxPointerMSB;
1126
            m_wb_cyc_o <=#Tp 1'b1;
1127
            m_wb_we_o  <=#Tp 1'b0;
1128
            m_wb_sel_o <=#Tp 4'hf;
1129
            IncrTxPointer<=#Tp 1'b1;
1130
          end
1131
        8'b10_10_01_00,             // MR and MR is needed (data read from tx buffer)
1132
        8'b01_1x_01_0x  :           // MW and MR is needed (data read from tx buffer)
1133
          begin
1134
            MasterWbTX <=#Tp 1'b1;
1135
            MasterWbRX <=#Tp 1'b0;
1136
            m_wb_adr_o <=#Tp TxPointerMSB;
1137
            m_wb_cyc_o <=#Tp 1'b1;
1138
            m_wb_we_o  <=#Tp 1'b0;
1139
            m_wb_sel_o <=#Tp 4'hf;
1140
            cyc_cleared<=#Tp 1'b0;
1141
            IncrTxPointer<=#Tp 1'b1;
1142
          end
1143
        8'b01_01_01_00,             // MW and MW needed (data write to rx buffer)
1144
        8'b10_x1_01_x0  :           // MR and MW is needed (data write to rx buffer)
1145
          begin
1146
            MasterWbTX <=#Tp 1'b0;
1147
            MasterWbRX <=#Tp 1'b1;
1148
            m_wb_adr_o <=#Tp RxPointerMSB;
1149
            m_wb_cyc_o <=#Tp 1'b1;
1150
            m_wb_we_o  <=#Tp 1'b1;
1151
            m_wb_sel_o <=#Tp RxByteSel;
1152
            cyc_cleared<=#Tp 1'b0;
1153
            IncrTxPointer<=#Tp 1'b0;
1154
          end
1155
        8'b01_01_10_00,             // MW and MW needed (cycle is cleared between previous and next access)
1156
        8'b01_1x_10_x0,             // MW and MW or MR or MRB needed (cycle is cleared between previous and next access)
1157
        8'b10_10_10_00,             // MR and MR needed (cycle is cleared between previous and next access)
1158
        8'b10_x1_10_0x :            // MR and MR or MW or MWB (cycle is cleared between previous and next access)
1159
          begin
1160
            m_wb_cyc_o <=#Tp 1'b0;  // whatever and master read or write is needed. We need to clear m_wb_cyc_o before next access is started
1161
            cyc_cleared<=#Tp 1'b1;
1162
            IncrTxPointer<=#Tp 1'b0;
1163
            tx_burst_cnt<=#Tp 0;
1164
            tx_burst_en<=#Tp txfifo_cnt<(`ETH_TX_FIFO_DEPTH-`ETH_BURST_LENGTH) & (TxLength>(`ETH_BURST_LENGTH*4+4));
1165
            rx_burst_cnt<=#Tp 0;
1166
            rx_burst_en<=#Tp MasterWbRX ? enough_data_in_rxfifo_for_burst_plus1 : enough_data_in_rxfifo_for_burst;  // Counter is not decremented, yet, so plus1 is used.
1167
            `ifdef ETH_WISHBONE_B3
1168
              m_wb_cti_o <=#Tp 3'b0;
1169
            `endif
1170
          end
1171
        8'bxx_00_10_00,             // whatever and no master read or write is needed (ack or err comes finishing previous access)
1172
        8'bxx_00_01_00 :            // Between cyc_cleared request was cleared
1173
          begin
1174
            MasterWbTX <=#Tp 1'b0;
1175
            MasterWbRX <=#Tp 1'b0;
1176
            m_wb_cyc_o <=#Tp 1'b0;
1177
            cyc_cleared<=#Tp 1'b0;
1178
            IncrTxPointer<=#Tp 1'b0;
1179
            rx_burst_cnt<=#Tp 0;
1180
            rx_burst_en<=#Tp MasterWbRX ? enough_data_in_rxfifo_for_burst_plus1 : enough_data_in_rxfifo_for_burst;  // Counter is not decremented, yet, so plus1 is used.
1181
            `ifdef ETH_WISHBONE_B3
1182
              m_wb_cti_o <=#Tp 3'b0;
1183
            `endif
1184
          end
1185
        8'b00_00_00_00:             // whatever and no master read or write is needed (ack or err comes finishing previous access)
1186
          begin
1187
            tx_burst_cnt<=#Tp 0;
1188
            tx_burst_en<=#Tp txfifo_cnt<(`ETH_TX_FIFO_DEPTH-`ETH_BURST_LENGTH) & (TxLength>(`ETH_BURST_LENGTH*4+4));
1189
          end
1190
        default:                    // Don't touch
1191
          begin
1192
            MasterWbTX <=#Tp MasterWbTX;
1193
            MasterWbRX <=#Tp MasterWbRX;
1194
            m_wb_cyc_o <=#Tp m_wb_cyc_o;
1195
            m_wb_sel_o <=#Tp m_wb_sel_o;
1196
            IncrTxPointer<=#Tp IncrTxPointer;
1197
          end
1198
      endcase
1199
    end
1200
end
1201
 
1202
 
1203
wire TxFifoClear;
1204
 
1205
assign TxFifoClear = (TxAbortPacket | TxRetryPacket);
1206
 
1207
eth_fifo #(`ETH_TX_FIFO_DATA_WIDTH, `ETH_TX_FIFO_DEPTH, `ETH_TX_FIFO_CNT_WIDTH)
1208
tx_fifo ( .data_in(m_wb_dat_i),                             .data_out(TxData_wb),
1209
          .clk(WB_CLK_I),                                   .reset(Reset),
1210
          .write(MasterWbTX & m_wb_ack_i),                  .read(ReadTxDataFromFifo_wb & ~TxBufferEmpty),
1211
          .clear(TxFifoClear),                              .full(TxBufferFull),
1212
          .almost_full(TxBufferAlmostFull),                 .almost_empty(TxBufferAlmostEmpty),
1213
          .empty(TxBufferEmpty),                            .cnt(txfifo_cnt)
1214
        );
1215
 
1216
 
1217
reg StartOccured;
1218
reg TxStartFrm_sync1;
1219
reg TxStartFrm_sync2;
1220
reg TxStartFrm_syncb1;
1221
reg TxStartFrm_syncb2;
1222
 
1223
 
1224
 
1225
// Start: Generation of the TxStartFrm_wb which is then synchronized to the MTxClk
1226
always @ (posedge WB_CLK_I or posedge Reset)
1227
begin
1228
  if(Reset)
1229
    TxStartFrm_wb <=#Tp 1'b0;
1230
  else
1231
  if(TxBDReady & ~StartOccured & (TxBufferFull | TxLengthEq0))
1232
    TxStartFrm_wb <=#Tp 1'b1;
1233
  else
1234
  if(TxStartFrm_syncb2)
1235
    TxStartFrm_wb <=#Tp 1'b0;
1236
end
1237
 
1238
// StartOccured: TxStartFrm_wb occurs only ones at the beginning. Then it's blocked.
1239
always @ (posedge WB_CLK_I or posedge Reset)
1240
begin
1241
  if(Reset)
1242
    StartOccured <=#Tp 1'b0;
1243
  else
1244
  if(TxStartFrm_wb)
1245
    StartOccured <=#Tp 1'b1;
1246
  else
1247
  if(ResetTxBDReady)
1248
    StartOccured <=#Tp 1'b0;
1249
end
1250
 
1251
// Synchronizing TxStartFrm_wb to MTxClk
1252
always @ (posedge MTxClk or posedge Reset)
1253
begin
1254
  if(Reset)
1255
    TxStartFrm_sync1 <=#Tp 1'b0;
1256
  else
1257
    TxStartFrm_sync1 <=#Tp TxStartFrm_wb;
1258
end
1259
 
1260
always @ (posedge MTxClk or posedge Reset)
1261
begin
1262
  if(Reset)
1263
    TxStartFrm_sync2 <=#Tp 1'b0;
1264
  else
1265
    TxStartFrm_sync2 <=#Tp TxStartFrm_sync1;
1266
end
1267
 
1268
always @ (posedge WB_CLK_I or posedge Reset)
1269
begin
1270
  if(Reset)
1271
    TxStartFrm_syncb1 <=#Tp 1'b0;
1272
  else
1273
    TxStartFrm_syncb1 <=#Tp TxStartFrm_sync2;
1274
end
1275
 
1276
always @ (posedge WB_CLK_I or posedge Reset)
1277
begin
1278
  if(Reset)
1279
    TxStartFrm_syncb2 <=#Tp 1'b0;
1280
  else
1281
    TxStartFrm_syncb2 <=#Tp TxStartFrm_syncb1;
1282
end
1283
 
1284
always @ (posedge MTxClk or posedge Reset)
1285
begin
1286
  if(Reset)
1287
    TxStartFrm <=#Tp 1'b0;
1288
  else
1289
  if(TxStartFrm_sync2)
1290
    TxStartFrm <=#Tp 1'b1;
1291
  else
1292
  if(TxUsedData_q | ~TxStartFrm_sync2 & (TxRetry & (~TxRetry_q) | TxAbort & (~TxAbort_q)))
1293
    TxStartFrm <=#Tp 1'b0;
1294
end
1295
// End: Generation of the TxStartFrm_wb which is then synchronized to the MTxClk
1296
 
1297
 
1298
// TxEndFrm_wb: indicator of the end of frame
1299
always @ (posedge WB_CLK_I or posedge Reset)
1300
begin
1301
  if(Reset)
1302
    TxEndFrm_wb <=#Tp 1'b0;
1303
  else
1304
  if(TxLengthEq0 & TxBufferAlmostEmpty & TxUsedData)
1305
    TxEndFrm_wb <=#Tp 1'b1;
1306
  else
1307
  if(TxRetryPulse | TxDonePulse | TxAbortPulse)
1308
    TxEndFrm_wb <=#Tp 1'b0;
1309
end
1310
 
1311
 
1312
// Marks which bytes are valid within the word.
1313
assign TxValidBytes = TxLengthLt4 ? TxLength[1:0] : 2'b0;
1314
 
1315
reg LatchValidBytes;
1316
reg LatchValidBytes_q;
1317
 
1318
always @ (posedge WB_CLK_I or posedge Reset)
1319
begin
1320
  if(Reset)
1321
    LatchValidBytes <=#Tp 1'b0;
1322
  else
1323
  if(TxLengthLt4 & TxBDReady)
1324
    LatchValidBytes <=#Tp 1'b1;
1325
  else
1326
    LatchValidBytes <=#Tp 1'b0;
1327
end
1328
 
1329
always @ (posedge WB_CLK_I or posedge Reset)
1330
begin
1331
  if(Reset)
1332
    LatchValidBytes_q <=#Tp 1'b0;
1333
  else
1334
    LatchValidBytes_q <=#Tp LatchValidBytes;
1335
end
1336
 
1337
 
1338
// Latching valid bytes
1339
always @ (posedge WB_CLK_I or posedge Reset)
1340
begin
1341
  if(Reset)
1342
    TxValidBytesLatched <=#Tp 2'h0;
1343
  else
1344
  if(LatchValidBytes & ~LatchValidBytes_q)
1345
    TxValidBytesLatched <=#Tp TxValidBytes;
1346
  else
1347
  if(TxRetryPulse | TxDonePulse | TxAbortPulse)
1348
    TxValidBytesLatched <=#Tp 2'h0;
1349
end
1350
 
1351
 
1352
assign TxIRQEn          = TxStatus[14];
1353
assign WrapTxStatusBit  = TxStatus[13];
1354
assign PerPacketPad     = TxStatus[12];
1355
assign PerPacketCrcEn   = TxStatus[11];
1356
 
1357
 
1358
assign RxIRQEn         = RxStatus[14];
1359
assign WrapRxStatusBit = RxStatus[13];
1360
 
1361
 
1362
// Temporary Tx and Rx buffer descriptor address 
1363
assign TempTxBDAddress[7:1] = {7{ TxStatusWrite     & ~WrapTxStatusBit}}   & (TxBDAddress + 1'b1) ; // Tx BD increment or wrap (last BD)
1364
assign TempRxBDAddress[7:1] = {7{ WrapRxStatusBit}} & (r_TxBDNum[6:0])     | // Using first Rx BD
1365
                              {7{~WrapRxStatusBit}} & (RxBDAddress + 1'b1) ; // Using next Rx BD (incremenrement address)
1366
 
1367
 
1368
// Latching Tx buffer descriptor address
1369
always @ (posedge WB_CLK_I or posedge Reset)
1370
begin
1371
  if(Reset)
1372
    TxBDAddress <=#Tp 7'h0;
1373
  else if (r_TxEn & (~r_TxEn_q))
1374
    TxBDAddress <=#Tp 7'h0;
1375
  else if (TxStatusWrite)
1376
    TxBDAddress <=#Tp TempTxBDAddress;
1377
end
1378
 
1379
 
1380
// Latching Rx buffer descriptor address
1381
always @ (posedge WB_CLK_I or posedge Reset)
1382
begin
1383
  if(Reset)
1384
    RxBDAddress <=#Tp 7'h0;
1385
  else if(r_RxEn & (~r_RxEn_q))
1386
    RxBDAddress <=#Tp r_TxBDNum[6:0];
1387
  else if(RxStatusWrite)
1388
    RxBDAddress <=#Tp TempRxBDAddress;
1389
end
1390
 
1391
wire [8:0] TxStatusInLatched = {TxUnderRun, RetryCntLatched[3:0], RetryLimit, LateCollLatched, DeferLatched, CarrierSenseLost};
1392
 
1393
assign RxBDDataIn = {LatchedRxLength, 1'b0, RxStatus, 4'h0, RxStatusInLatched};
1394
assign TxBDDataIn = {LatchedTxLength, 1'b0, TxStatus, 2'h0, TxStatusInLatched};
1395
 
1396
 
1397
// Signals used for various purposes
1398
assign TxRetryPulse   = TxRetry_wb   & ~TxRetry_wb_q;
1399
assign TxDonePulse    = TxDone_wb    & ~TxDone_wb_q;
1400
assign TxAbortPulse   = TxAbort_wb   & ~TxAbort_wb_q;
1401
 
1402
 
1403
 
1404
// Generating delayed signals
1405
always @ (posedge MTxClk or posedge Reset)
1406
begin
1407
  if(Reset)
1408
    begin
1409
      TxAbort_q      <=#Tp 1'b0;
1410
      TxRetry_q      <=#Tp 1'b0;
1411
      TxUsedData_q   <=#Tp 1'b0;
1412
    end
1413
  else
1414
    begin
1415
      TxAbort_q      <=#Tp TxAbort;
1416
      TxRetry_q      <=#Tp TxRetry;
1417
      TxUsedData_q   <=#Tp TxUsedData;
1418
    end
1419
end
1420
 
1421
// Generating delayed signals
1422
always @ (posedge WB_CLK_I or posedge Reset)
1423
begin
1424
  if(Reset)
1425
    begin
1426
      TxDone_wb_q   <=#Tp 1'b0;
1427
      TxAbort_wb_q  <=#Tp 1'b0;
1428
      TxRetry_wb_q  <=#Tp 1'b0;
1429
    end
1430
  else
1431
    begin
1432
      TxDone_wb_q   <=#Tp TxDone_wb;
1433
      TxAbort_wb_q  <=#Tp TxAbort_wb;
1434
      TxRetry_wb_q  <=#Tp TxRetry_wb;
1435
    end
1436
end
1437
 
1438
 
1439
reg TxAbortPacketBlocked;
1440
always @ (posedge WB_CLK_I or posedge Reset)
1441
begin
1442
  if(Reset)
1443
    TxAbortPacket <=#Tp 1'b0;
1444
  else
1445
  if(TxAbort_wb & (~tx_burst_en) & MasterWbTX & MasterAccessFinished & (~TxAbortPacketBlocked) |
1446
     TxAbort_wb & (~MasterWbTX) & (~TxAbortPacketBlocked))
1447
    TxAbortPacket <=#Tp 1'b1;
1448
  else
1449
    TxAbortPacket <=#Tp 1'b0;
1450
end
1451
 
1452
 
1453
always @ (posedge WB_CLK_I or posedge Reset)
1454
begin
1455
  if(Reset)
1456
    TxAbortPacket_NotCleared <=#Tp 1'b0;
1457
  else
1458
  if(TxEn & TxEn_q & TxAbortPacket_NotCleared)
1459
    TxAbortPacket_NotCleared <=#Tp 1'b0;
1460
  else
1461
  if(TxAbort_wb & (~tx_burst_en) & MasterWbTX & MasterAccessFinished & (~TxAbortPacketBlocked) |
1462
     TxAbort_wb & (~MasterWbTX) & (~TxAbortPacketBlocked))
1463
    TxAbortPacket_NotCleared <=#Tp 1'b1;
1464
end
1465
 
1466
 
1467
always @ (posedge WB_CLK_I or posedge Reset)
1468
begin
1469
  if(Reset)
1470
    TxAbortPacketBlocked <=#Tp 1'b0;
1471
  else
1472
  if(!TxAbort_wb & TxAbort_wb_q)
1473
    TxAbortPacketBlocked <=#Tp 1'b0;
1474
  else
1475
  if(TxAbortPacket)
1476
    TxAbortPacketBlocked <=#Tp 1'b1;
1477
end
1478
 
1479
 
1480
reg TxRetryPacketBlocked;
1481
always @ (posedge WB_CLK_I or posedge Reset)
1482
begin
1483
  if(Reset)
1484
    TxRetryPacket <=#Tp 1'b0;
1485
  else
1486
  if(TxRetry_wb & !tx_burst_en & MasterWbTX & MasterAccessFinished & !TxRetryPacketBlocked |
1487
     TxRetry_wb & !MasterWbTX & !TxRetryPacketBlocked)
1488
    TxRetryPacket <=#Tp 1'b1;
1489
  else
1490
    TxRetryPacket <=#Tp 1'b0;
1491
end
1492
 
1493
 
1494
always @ (posedge WB_CLK_I or posedge Reset)
1495
begin
1496
  if(Reset)
1497
    TxRetryPacket_NotCleared <=#Tp 1'b0;
1498
  else
1499
  if(StartTxBDRead)
1500
    TxRetryPacket_NotCleared <=#Tp 1'b0;
1501
  else
1502
  if(TxRetry_wb & !tx_burst_en & MasterWbTX & MasterAccessFinished & !TxRetryPacketBlocked |
1503
     TxRetry_wb & !MasterWbTX & !TxRetryPacketBlocked)
1504
    TxRetryPacket_NotCleared <=#Tp 1'b1;
1505
end
1506
 
1507
 
1508
always @ (posedge WB_CLK_I or posedge Reset)
1509
begin
1510
  if(Reset)
1511
    TxRetryPacketBlocked <=#Tp 1'b0;
1512
  else
1513
  if(!TxRetry_wb & TxRetry_wb_q)
1514
    TxRetryPacketBlocked <=#Tp 1'b0;
1515
  else
1516
  if(TxRetryPacket)
1517
    TxRetryPacketBlocked <=#Tp 1'b1;
1518
end
1519
 
1520
 
1521
reg TxDonePacketBlocked;
1522
always @ (posedge WB_CLK_I or posedge Reset)
1523
begin
1524
  if(Reset)
1525
    TxDonePacket <=#Tp 1'b0;
1526
  else
1527
  if(TxDone_wb & !tx_burst_en & MasterWbTX & MasterAccessFinished & !TxDonePacketBlocked |
1528
     TxDone_wb & !MasterWbTX & !TxDonePacketBlocked)
1529
    TxDonePacket <=#Tp 1'b1;
1530
  else
1531
    TxDonePacket <=#Tp 1'b0;
1532
end
1533
 
1534
 
1535
always @ (posedge WB_CLK_I or posedge Reset)
1536
begin
1537
  if(Reset)
1538
    TxDonePacket_NotCleared <=#Tp 1'b0;
1539
  else
1540
  if(TxEn & TxEn_q & TxDonePacket_NotCleared)
1541
    TxDonePacket_NotCleared <=#Tp 1'b0;
1542
  else
1543
  if(TxDone_wb & !tx_burst_en & MasterWbTX & MasterAccessFinished & (~TxDonePacketBlocked) |
1544
     TxDone_wb & !MasterWbTX & (~TxDonePacketBlocked))
1545
    TxDonePacket_NotCleared <=#Tp 1'b1;
1546
end
1547
 
1548
 
1549
always @ (posedge WB_CLK_I or posedge Reset)
1550
begin
1551
  if(Reset)
1552
    TxDonePacketBlocked <=#Tp 1'b0;
1553
  else
1554
  if(!TxDone_wb & TxDone_wb_q)
1555
    TxDonePacketBlocked <=#Tp 1'b0;
1556
  else
1557
  if(TxDonePacket)
1558
    TxDonePacketBlocked <=#Tp 1'b1;
1559
end
1560
 
1561
 
1562
// Indication of the last word
1563
always @ (posedge MTxClk or posedge Reset)
1564
begin
1565
  if(Reset)
1566
    LastWord <=#Tp 1'b0;
1567
  else
1568
  if((TxEndFrm | TxAbort | TxRetry) & Flop)
1569
    LastWord <=#Tp 1'b0;
1570
  else
1571
  if(TxUsedData & Flop & TxByteCnt == 2'h3)
1572
    LastWord <=#Tp TxEndFrm_wb;
1573
end
1574
 
1575
 
1576
// Tx end frame generation
1577
always @ (posedge MTxClk or posedge Reset)
1578
begin
1579
  if(Reset)
1580
    TxEndFrm <=#Tp 1'b0;
1581
  else
1582
  if(Flop & TxEndFrm | TxAbort | TxRetry_q)
1583
    TxEndFrm <=#Tp 1'b0;
1584
  else
1585
  if(Flop & LastWord)
1586
    begin
1587
      case (TxValidBytesLatched)  // synopsys parallel_case
1588
        1 : TxEndFrm <=#Tp TxByteCnt == 2'h0;
1589
        2 : TxEndFrm <=#Tp TxByteCnt == 2'h1;
1590
        3 : TxEndFrm <=#Tp TxByteCnt == 2'h2;
1591
 
1592
        default : TxEndFrm <=#Tp 1'b0;
1593
      endcase
1594
    end
1595
end
1596
 
1597
 
1598
// Tx data selection (latching)
1599
always @ (posedge MTxClk or posedge Reset)
1600
begin
1601
  if(Reset)
1602
    TxData <=#Tp 0;
1603
  else
1604
  if(TxStartFrm_sync2 & ~TxStartFrm)
1605
    case(TxPointerLSB)  // synopsys parallel_case
1606
      2'h0 : TxData <=#Tp TxData_wb[31:24];                  // Big Endian Byte Ordering
1607
      2'h1 : TxData <=#Tp TxData_wb[23:16];                  // Big Endian Byte Ordering
1608
      2'h2 : TxData <=#Tp TxData_wb[15:08];                  // Big Endian Byte Ordering
1609
      2'h3 : TxData <=#Tp TxData_wb[07:00];                  // Big Endian Byte Ordering
1610
    endcase
1611
  else
1612
  if(TxStartFrm & TxUsedData & TxPointerLSB==2'h3)
1613
    TxData <=#Tp TxData_wb[31:24];                           // Big Endian Byte Ordering
1614
  else
1615
  if(TxUsedData & Flop)
1616
    begin
1617
      case(TxByteCnt)  // synopsys parallel_case
1618
 
1619
        1 : TxData <=#Tp TxDataLatched[23:16];
1620
        2 : TxData <=#Tp TxDataLatched[15:8];
1621
        3 : TxData <=#Tp TxDataLatched[7:0];
1622
      endcase
1623
    end
1624
end
1625
 
1626
 
1627
// Latching tx data
1628
always @ (posedge MTxClk or posedge Reset)
1629
begin
1630
  if(Reset)
1631
    TxDataLatched[31:0] <=#Tp 32'h0;
1632
  else
1633
 if(TxStartFrm_sync2 & ~TxStartFrm | TxUsedData & Flop & TxByteCnt == 2'h3 | TxStartFrm & TxUsedData & Flop & TxByteCnt == 2'h0)
1634
    TxDataLatched[31:0] <=#Tp TxData_wb[31:0];
1635
end
1636
 
1637
 
1638
// Tx under run
1639
always @ (posedge WB_CLK_I or posedge Reset)
1640
begin
1641
  if(Reset)
1642
    TxUnderRun_wb <=#Tp 1'b0;
1643
  else
1644
  if(TxAbortPulse)
1645
    TxUnderRun_wb <=#Tp 1'b0;
1646
  else
1647
  if(TxBufferEmpty & ReadTxDataFromFifo_wb)
1648
    TxUnderRun_wb <=#Tp 1'b1;
1649
end
1650
 
1651
 
1652
reg TxUnderRun_sync1;
1653
 
1654
// Tx under run
1655
always @ (posedge MTxClk or posedge Reset)
1656
begin
1657
  if(Reset)
1658
    TxUnderRun_sync1 <=#Tp 1'b0;
1659
  else
1660
  if(TxUnderRun_wb)
1661
    TxUnderRun_sync1 <=#Tp 1'b1;
1662
  else
1663
  if(BlockingTxStatusWrite_sync2)
1664
    TxUnderRun_sync1 <=#Tp 1'b0;
1665
end
1666
 
1667
// Tx under run
1668
always @ (posedge MTxClk or posedge Reset)
1669
begin
1670
  if(Reset)
1671
    TxUnderRun <=#Tp 1'b0;
1672
  else
1673
  if(BlockingTxStatusWrite_sync2)
1674
    TxUnderRun <=#Tp 1'b0;
1675
  else
1676
  if(TxUnderRun_sync1)
1677
    TxUnderRun <=#Tp 1'b1;
1678
end
1679
 
1680
 
1681
// Tx Byte counter
1682
always @ (posedge MTxClk or posedge Reset)
1683
begin
1684
  if(Reset)
1685
    TxByteCnt <=#Tp 2'h0;
1686
  else
1687
  if(TxAbort_q | TxRetry_q)
1688
    TxByteCnt <=#Tp 2'h0;
1689
  else
1690
  if(TxStartFrm & ~TxUsedData)
1691
    case(TxPointerLSB)  // synopsys parallel_case
1692
      2'h0 : TxByteCnt <=#Tp 2'h1;
1693
      2'h1 : TxByteCnt <=#Tp 2'h2;
1694
      2'h2 : TxByteCnt <=#Tp 2'h3;
1695
      2'h3 : TxByteCnt <=#Tp 2'h0;
1696
    endcase
1697
  else
1698
  if(TxUsedData & Flop)
1699
    TxByteCnt <=#Tp TxByteCnt + 1'b1;
1700
end
1701
 
1702
 
1703
// Start: Generation of the ReadTxDataFromFifo_tck signal and synchronization to the WB_CLK_I
1704
reg ReadTxDataFromFifo_sync1;
1705
reg ReadTxDataFromFifo_sync2;
1706
reg ReadTxDataFromFifo_sync3;
1707
reg ReadTxDataFromFifo_syncb1;
1708
reg ReadTxDataFromFifo_syncb2;
1709
reg ReadTxDataFromFifo_syncb3;
1710
 
1711
 
1712
always @ (posedge MTxClk or posedge Reset)
1713
begin
1714
  if(Reset)
1715
    ReadTxDataFromFifo_tck <=#Tp 1'b0;
1716
  else
1717
  if(TxStartFrm_sync2 & ~TxStartFrm | TxUsedData & Flop & TxByteCnt == 2'h3 & ~LastWord | TxStartFrm & TxUsedData & Flop & TxByteCnt == 2'h0)
1718
     ReadTxDataFromFifo_tck <=#Tp 1'b1;
1719
  else
1720
  if(ReadTxDataFromFifo_syncb2 & ~ReadTxDataFromFifo_syncb3)
1721
    ReadTxDataFromFifo_tck <=#Tp 1'b0;
1722
end
1723
 
1724
// Synchronizing TxStartFrm_wb to MTxClk
1725
always @ (posedge WB_CLK_I or posedge Reset)
1726
begin
1727
  if(Reset)
1728
    ReadTxDataFromFifo_sync1 <=#Tp 1'b0;
1729
  else
1730
    ReadTxDataFromFifo_sync1 <=#Tp ReadTxDataFromFifo_tck;
1731
end
1732
 
1733
always @ (posedge WB_CLK_I or posedge Reset)
1734
begin
1735
  if(Reset)
1736
    ReadTxDataFromFifo_sync2 <=#Tp 1'b0;
1737
  else
1738
    ReadTxDataFromFifo_sync2 <=#Tp ReadTxDataFromFifo_sync1;
1739
end
1740
 
1741
always @ (posedge MTxClk or posedge Reset)
1742
begin
1743
  if(Reset)
1744
    ReadTxDataFromFifo_syncb1 <=#Tp 1'b0;
1745
  else
1746
    ReadTxDataFromFifo_syncb1 <=#Tp ReadTxDataFromFifo_sync2;
1747
end
1748
 
1749
always @ (posedge MTxClk or posedge Reset)
1750
begin
1751
  if(Reset)
1752
    ReadTxDataFromFifo_syncb2 <=#Tp 1'b0;
1753
  else
1754
    ReadTxDataFromFifo_syncb2 <=#Tp ReadTxDataFromFifo_syncb1;
1755
end
1756
 
1757
always @ (posedge MTxClk or posedge Reset)
1758
begin
1759
  if(Reset)
1760
    ReadTxDataFromFifo_syncb3 <=#Tp 1'b0;
1761
  else
1762
    ReadTxDataFromFifo_syncb3 <=#Tp ReadTxDataFromFifo_syncb2;
1763
end
1764
 
1765
always @ (posedge WB_CLK_I or posedge Reset)
1766
begin
1767
  if(Reset)
1768
    ReadTxDataFromFifo_sync3 <=#Tp 1'b0;
1769
  else
1770
    ReadTxDataFromFifo_sync3 <=#Tp ReadTxDataFromFifo_sync2;
1771
end
1772
 
1773
assign ReadTxDataFromFifo_wb = ReadTxDataFromFifo_sync2 & ~ReadTxDataFromFifo_sync3;
1774
// End: Generation of the ReadTxDataFromFifo_tck signal and synchronization to the WB_CLK_I
1775
 
1776
 
1777
// Synchronizing TxRetry signal (synchronized to WISHBONE clock)
1778
always @ (posedge WB_CLK_I or posedge Reset)
1779
begin
1780
  if(Reset)
1781
    TxRetrySync1 <=#Tp 1'b0;
1782
  else
1783
    TxRetrySync1 <=#Tp TxRetry;
1784
end
1785
 
1786
always @ (posedge WB_CLK_I or posedge Reset)
1787
begin
1788
  if(Reset)
1789
    TxRetry_wb <=#Tp 1'b0;
1790
  else
1791
    TxRetry_wb <=#Tp TxRetrySync1;
1792
end
1793
 
1794
 
1795
// Synchronized TxDone_wb signal (synchronized to WISHBONE clock)
1796
always @ (posedge WB_CLK_I or posedge Reset)
1797
begin
1798
  if(Reset)
1799
    TxDoneSync1 <=#Tp 1'b0;
1800
  else
1801
    TxDoneSync1 <=#Tp TxDone;
1802
end
1803
 
1804
always @ (posedge WB_CLK_I or posedge Reset)
1805
begin
1806
  if(Reset)
1807
    TxDone_wb <=#Tp 1'b0;
1808
  else
1809
    TxDone_wb <=#Tp TxDoneSync1;
1810
end
1811
 
1812
// Synchronizing TxAbort signal (synchronized to WISHBONE clock)
1813
always @ (posedge WB_CLK_I or posedge Reset)
1814
begin
1815
  if(Reset)
1816
    TxAbortSync1 <=#Tp 1'b0;
1817
  else
1818
    TxAbortSync1 <=#Tp TxAbort;
1819
end
1820
 
1821
always @ (posedge WB_CLK_I or posedge Reset)
1822
begin
1823
  if(Reset)
1824
    TxAbort_wb <=#Tp 1'b0;
1825
  else
1826
    TxAbort_wb <=#Tp TxAbortSync1;
1827
end
1828
 
1829
 
1830
reg RxAbortSync1;
1831
reg RxAbortSync2;
1832
reg RxAbortSync3;
1833
reg RxAbortSync4;
1834
reg RxAbortSyncb1;
1835
reg RxAbortSyncb2;
1836
 
1837
assign StartRxBDRead = RxStatusWrite | RxAbortSync3 & ~RxAbortSync4 | r_RxEn & ~r_RxEn_q;
1838
 
1839
// Reading the Rx buffer descriptor
1840
always @ (posedge WB_CLK_I or posedge Reset)
1841
begin
1842
  if(Reset)
1843
    RxBDRead <=#Tp 1'b0;
1844
  else
1845
  if(StartRxBDRead & ~RxReady)
1846
    RxBDRead <=#Tp 1'b1;
1847
  else
1848
  if(RxBDReady)
1849
    RxBDRead <=#Tp 1'b0;
1850
end
1851
 
1852
 
1853
// Reading of the next receive buffer descriptor starts after reception status is
1854
// written to the previous one.
1855
 
1856
// Latching READY status of the Rx buffer descriptor
1857
always @ (posedge WB_CLK_I or posedge Reset)
1858
begin
1859
  if(Reset)
1860
    RxBDReady <=#Tp 1'b0;
1861
  else
1862
  if(RxPointerRead)
1863
    RxBDReady <=#Tp 1'b0;
1864
  else
1865
  if(RxEn & RxEn_q & RxBDRead)
1866
    RxBDReady <=#Tp ram_do[15]; // RxBDReady is sampled only once at the beginning
1867
end
1868
 
1869
// Latching Rx buffer descriptor status
1870
// Data is avaliable one cycle after the access is started (at that time signal RxEn is not active)
1871
always @ (posedge WB_CLK_I or posedge Reset)
1872
begin
1873
  if(Reset)
1874
    RxStatus <=#Tp 2'h0;
1875
  else
1876
  if(RxEn & RxEn_q & RxBDRead)
1877
    RxStatus <=#Tp ram_do[14:13];
1878
end
1879
 
1880
 
1881
// RxReady generation
1882
always @ (posedge WB_CLK_I or posedge Reset)
1883
begin
1884
  if(Reset)
1885
    RxReady <=#Tp 1'b0;
1886
  else
1887
  if(ShiftEnded | RxAbortSync2 & ~RxAbortSync3 | ~r_RxEn & r_RxEn_q)
1888
    RxReady <=#Tp 1'b0;
1889
  else
1890
  if(RxEn & RxEn_q & RxPointerRead)
1891
    RxReady <=#Tp 1'b1;
1892
end
1893
 
1894
 
1895
// Reading Rx BD pointer
1896
 
1897
 
1898
assign StartRxPointerRead = RxBDRead & RxBDReady;
1899
 
1900
// Reading Tx BD Pointer
1901
always @ (posedge WB_CLK_I or posedge Reset)
1902
begin
1903
  if(Reset)
1904
    RxPointerRead <=#Tp 1'b0;
1905
  else
1906
  if(StartRxPointerRead)
1907
    RxPointerRead <=#Tp 1'b1;
1908
  else
1909
  if(RxEn & RxEn_q)
1910
    RxPointerRead <=#Tp 1'b0;
1911
end
1912
 
1913
 
1914
//Latching Rx buffer pointer from buffer descriptor;
1915
always @ (posedge WB_CLK_I or posedge Reset)
1916
begin
1917
  if(Reset)
1918
    RxPointerMSB <=#Tp 30'h0;
1919
  else
1920
  if(RxEn & RxEn_q & RxPointerRead)
1921
    RxPointerMSB <=#Tp ram_do[31:2];
1922
  else
1923
  if(MasterWbRX & m_wb_ack_i)
1924
      RxPointerMSB <=#Tp RxPointerMSB + 1'b1; // Word access  (always word access. m_wb_sel_o are used for selecting bytes)
1925
end
1926
 
1927
 
1928
//Latching last addresses from buffer descriptor (used as byte-half-word indicator);
1929
always @ (posedge WB_CLK_I or posedge Reset)
1930
begin
1931
  if(Reset)
1932
    RxPointerLSB_rst[1:0] <=#Tp 0;
1933
  else
1934
  if(MasterWbRX & m_wb_ack_i)                 // After first write all RxByteSel are active
1935
    RxPointerLSB_rst[1:0] <=#Tp 0;
1936
  else
1937
  if(RxEn & RxEn_q & RxPointerRead)
1938
    RxPointerLSB_rst[1:0] <=#Tp ram_do[1:0];
1939
end
1940
 
1941
 
1942
always @ (RxPointerLSB_rst)
1943
begin
1944
  case(RxPointerLSB_rst[1:0])  // synopsys parallel_case
1945
    2'h0 : RxByteSel[3:0] = 4'hf;
1946
    2'h1 : RxByteSel[3:0] = 4'h7;
1947
    2'h2 : RxByteSel[3:0] = 4'h3;
1948
    2'h3 : RxByteSel[3:0] = 4'h1;
1949
  endcase
1950
end
1951
 
1952
 
1953
always @ (posedge WB_CLK_I or posedge Reset)
1954
begin
1955
  if(Reset)
1956
    RxEn_needed <=#Tp 1'b0;
1957
  else
1958
  if(~RxReady & r_RxEn & WbEn & ~WbEn_q)
1959
    RxEn_needed <=#Tp 1'b1;
1960
  else
1961
  if(RxPointerRead & RxEn & RxEn_q)
1962
    RxEn_needed <=#Tp 1'b0;
1963
end
1964
 
1965
 
1966
// Reception status is written back to the buffer descriptor after the end of frame is detected.
1967
assign RxStatusWrite = ShiftEnded & RxEn & RxEn_q;
1968
 
1969
reg RxEnableWindow;
1970
 
1971
// Indicating that last byte is being reveived
1972
always @ (posedge MRxClk or posedge Reset)
1973
begin
1974
  if(Reset)
1975
    LastByteIn <=#Tp 1'b0;
1976
  else
1977
  if(ShiftWillEnd & (&RxByteCnt) | RxAbort)
1978
    LastByteIn <=#Tp 1'b0;
1979
  else
1980
  if(RxValid & RxReady & RxEndFrm & ~(&RxByteCnt) & RxEnableWindow)
1981
    LastByteIn <=#Tp 1'b1;
1982
end
1983
 
1984
reg ShiftEnded_rck;
1985
reg ShiftEndedSync1;
1986
reg ShiftEndedSync2;
1987
reg ShiftEndedSync3;
1988
reg ShiftEndedSync_c1;
1989
reg ShiftEndedSync_c2;
1990
 
1991
wire StartShiftWillEnd;
1992
assign StartShiftWillEnd = LastByteIn  | RxValid & RxEndFrm & (&RxByteCnt) & RxEnableWindow;
1993
 
1994
// Indicating that data reception will end
1995
always @ (posedge MRxClk or posedge Reset)
1996
begin
1997
  if(Reset)
1998
    ShiftWillEnd <=#Tp 1'b0;
1999
  else
2000
  if(ShiftEnded_rck | RxAbort)
2001
    ShiftWillEnd <=#Tp 1'b0;
2002
  else
2003
  if(StartShiftWillEnd)
2004
    ShiftWillEnd <=#Tp 1'b1;
2005
end
2006
 
2007
 
2008
 
2009
// Receive byte counter
2010
always @ (posedge MRxClk or posedge Reset)
2011
begin
2012
  if(Reset)
2013
    RxByteCnt <=#Tp 2'h0;
2014
  else
2015
  if(ShiftEnded_rck | RxAbort)
2016
    RxByteCnt <=#Tp 2'h0;
2017
  else
2018
  if(RxValid & RxStartFrm & RxReady)
2019
    case(RxPointerLSB_rst)  // synopsys parallel_case
2020
      2'h0 : RxByteCnt <=#Tp 2'h1;
2021
      2'h1 : RxByteCnt <=#Tp 2'h2;
2022
      2'h2 : RxByteCnt <=#Tp 2'h3;
2023
      2'h3 : RxByteCnt <=#Tp 2'h0;
2024
    endcase
2025
  else
2026
  if(RxValid & RxEnableWindow & RxReady | LastByteIn)
2027
    RxByteCnt <=#Tp RxByteCnt + 1'b1;
2028
end
2029
 
2030
 
2031
// Indicates how many bytes are valid within the last word
2032
always @ (posedge MRxClk or posedge Reset)
2033
begin
2034
  if(Reset)
2035
    RxValidBytes <=#Tp 2'h1;
2036
  else
2037
  if(RxValid & RxStartFrm)
2038
    case(RxPointerLSB_rst)  // synopsys parallel_case
2039
      2'h0 : RxValidBytes <=#Tp 2'h1;
2040
      2'h1 : RxValidBytes <=#Tp 2'h2;
2041
      2'h2 : RxValidBytes <=#Tp 2'h3;
2042
      2'h3 : RxValidBytes <=#Tp 2'h0;
2043
    endcase
2044
  else
2045
  if(RxValid & ~LastByteIn & ~RxStartFrm & RxEnableWindow)
2046
    RxValidBytes <=#Tp RxValidBytes + 1'b1;
2047
end
2048
 
2049
 
2050
always @ (posedge MRxClk or posedge Reset)
2051
begin
2052
  if(Reset)
2053
    RxDataLatched1       <=#Tp 24'h0;
2054
  else
2055
  if(RxValid & RxReady & ~LastByteIn)
2056
    if(RxStartFrm)
2057
    begin
2058
      case(RxPointerLSB_rst)     // synopsys parallel_case
2059
        2'h0:        RxDataLatched1[31:24] <=#Tp RxData;            // Big Endian Byte Ordering
2060
        2'h1:        RxDataLatched1[23:16] <=#Tp RxData;
2061
        2'h2:        RxDataLatched1[15:8]  <=#Tp RxData;
2062
        2'h3:        RxDataLatched1        <=#Tp RxDataLatched1;
2063
      endcase
2064
    end
2065
    else if (RxEnableWindow)
2066
    begin
2067
      case(RxByteCnt)     // synopsys parallel_case
2068
        2'h0:        RxDataLatched1[31:24] <=#Tp RxData;            // Big Endian Byte Ordering
2069
        2'h1:        RxDataLatched1[23:16] <=#Tp RxData;
2070
        2'h2:        RxDataLatched1[15:8]  <=#Tp RxData;
2071
        2'h3:        RxDataLatched1        <=#Tp RxDataLatched1;
2072
      endcase
2073
    end
2074
end
2075
 
2076
wire SetWriteRxDataToFifo;
2077
 
2078
// Assembling data that will be written to the rx_fifo
2079
always @ (posedge MRxClk or posedge Reset)
2080
begin
2081
  if(Reset)
2082
    RxDataLatched2 <=#Tp 32'h0;
2083
  else
2084
  if(SetWriteRxDataToFifo & ~ShiftWillEnd)
2085
    RxDataLatched2 <=#Tp {RxDataLatched1[31:8], RxData};              // Big Endian Byte Ordering
2086
  else
2087
  if(SetWriteRxDataToFifo & ShiftWillEnd)
2088
    case(RxValidBytes)  // synopsys parallel_case
2089
 
2090
      1 : RxDataLatched2 <=#Tp {RxDataLatched1[31:24], 24'h0};
2091
      2 : RxDataLatched2 <=#Tp {RxDataLatched1[31:16], 16'h0};
2092
      3 : RxDataLatched2 <=#Tp {RxDataLatched1[31:8],   8'h0};
2093
    endcase
2094
end
2095
 
2096
 
2097
reg WriteRxDataToFifoSync1;
2098
reg WriteRxDataToFifoSync2;
2099
reg WriteRxDataToFifoSync3;
2100
 
2101
 
2102
// Indicating start of the reception process
2103
assign SetWriteRxDataToFifo = (RxValid & RxReady & ~RxStartFrm & RxEnableWindow & (&RxByteCnt)) |
2104
                              (RxValid & RxReady &  RxStartFrm & (&RxPointerLSB_rst))           |
2105
                              (ShiftWillEnd & LastByteIn & (&RxByteCnt));
2106
 
2107
always @ (posedge MRxClk or posedge Reset)
2108
begin
2109
  if(Reset)
2110
    WriteRxDataToFifo <=#Tp 1'b0;
2111
  else
2112
  if(SetWriteRxDataToFifo & ~RxAbort)
2113
    WriteRxDataToFifo <=#Tp 1'b1;
2114
  else
2115
  if(WriteRxDataToFifoSync2 | RxAbort)
2116
    WriteRxDataToFifo <=#Tp 1'b0;
2117
end
2118
 
2119
 
2120
 
2121
always @ (posedge WB_CLK_I or posedge Reset)
2122
begin
2123
  if(Reset)
2124
    WriteRxDataToFifoSync1 <=#Tp 1'b0;
2125
  else
2126
  if(WriteRxDataToFifo)
2127
    WriteRxDataToFifoSync1 <=#Tp 1'b1;
2128
  else
2129
    WriteRxDataToFifoSync1 <=#Tp 1'b0;
2130
end
2131
 
2132
always @ (posedge WB_CLK_I or posedge Reset)
2133
begin
2134
  if(Reset)
2135
    WriteRxDataToFifoSync2 <=#Tp 1'b0;
2136
  else
2137
    WriteRxDataToFifoSync2 <=#Tp WriteRxDataToFifoSync1;
2138
end
2139
 
2140
always @ (posedge WB_CLK_I or posedge Reset)
2141
begin
2142
  if(Reset)
2143
    WriteRxDataToFifoSync3 <=#Tp 1'b0;
2144
  else
2145
    WriteRxDataToFifoSync3 <=#Tp WriteRxDataToFifoSync2;
2146
end
2147
 
2148
wire WriteRxDataToFifo_wb;
2149
assign WriteRxDataToFifo_wb = WriteRxDataToFifoSync2 & ~WriteRxDataToFifoSync3;
2150
 
2151
 
2152
reg LatchedRxStartFrm;
2153
reg SyncRxStartFrm;
2154
reg SyncRxStartFrm_q;
2155
reg SyncRxStartFrm_q2;
2156
wire RxFifoReset;
2157
 
2158
always @ (posedge MRxClk or posedge Reset)
2159
begin
2160
  if(Reset)
2161
    LatchedRxStartFrm <=#Tp 0;
2162
  else
2163
  if(RxStartFrm & ~SyncRxStartFrm_q)
2164
    LatchedRxStartFrm <=#Tp 1;
2165
  else
2166
  if(SyncRxStartFrm_q)
2167
    LatchedRxStartFrm <=#Tp 0;
2168
end
2169
 
2170
 
2171
always @ (posedge WB_CLK_I or posedge Reset)
2172
begin
2173
  if(Reset)
2174
    SyncRxStartFrm <=#Tp 0;
2175
  else
2176
  if(LatchedRxStartFrm)
2177
    SyncRxStartFrm <=#Tp 1;
2178
  else
2179
    SyncRxStartFrm <=#Tp 0;
2180
end
2181
 
2182
 
2183
always @ (posedge WB_CLK_I or posedge Reset)
2184
begin
2185
  if(Reset)
2186
    SyncRxStartFrm_q <=#Tp 0;
2187
  else
2188
    SyncRxStartFrm_q <=#Tp SyncRxStartFrm;
2189
end
2190
 
2191
always @ (posedge WB_CLK_I or posedge Reset)
2192
begin
2193
  if(Reset)
2194
    SyncRxStartFrm_q2 <=#Tp 0;
2195
  else
2196
    SyncRxStartFrm_q2 <=#Tp SyncRxStartFrm_q;
2197
end
2198
 
2199
 
2200
assign RxFifoReset = SyncRxStartFrm_q & ~SyncRxStartFrm_q2;
2201
 
2202
 
2203
eth_fifo #(`ETH_RX_FIFO_DATA_WIDTH, `ETH_RX_FIFO_DEPTH, `ETH_RX_FIFO_CNT_WIDTH)
2204
rx_fifo (.data_in(RxDataLatched2),                      .data_out(m_wb_dat_o),
2205
         .clk(WB_CLK_I),                                .reset(Reset),
2206
         .write(WriteRxDataToFifo_wb & ~RxBufferFull),  .read(MasterWbRX & m_wb_ack_i),
2207
         .clear(RxFifoReset),                           .full(RxBufferFull),
2208
         .almost_full(),                                .almost_empty(RxBufferAlmostEmpty),
2209
         .empty(RxBufferEmpty),                         .cnt(rxfifo_cnt)
2210
        );
2211
 
2212
assign enough_data_in_rxfifo_for_burst = rxfifo_cnt>=`ETH_BURST_LENGTH;
2213
assign enough_data_in_rxfifo_for_burst_plus1 = rxfifo_cnt>`ETH_BURST_LENGTH;
2214
assign WriteRxDataToMemory = ~RxBufferEmpty;
2215
assign rx_burst = rx_burst_en & WriteRxDataToMemory;
2216
 
2217
 
2218
// Generation of the end-of-frame signal
2219
always @ (posedge MRxClk or posedge Reset)
2220
begin
2221
  if(Reset)
2222
    ShiftEnded_rck <=#Tp 1'b0;
2223
  else
2224
  if(~RxAbort & SetWriteRxDataToFifo & StartShiftWillEnd)
2225
    ShiftEnded_rck <=#Tp 1'b1;
2226
  else
2227
  if(RxAbort | ShiftEndedSync_c1 & ShiftEndedSync_c2)
2228
    ShiftEnded_rck <=#Tp 1'b0;
2229
end
2230
 
2231
always @ (posedge WB_CLK_I or posedge Reset)
2232
begin
2233
  if(Reset)
2234
    ShiftEndedSync1 <=#Tp 1'b0;
2235
  else
2236
    ShiftEndedSync1 <=#Tp ShiftEnded_rck;
2237
end
2238
 
2239
always @ (posedge WB_CLK_I or posedge Reset)
2240
begin
2241
  if(Reset)
2242
    ShiftEndedSync2 <=#Tp 1'b0;
2243
  else
2244
    ShiftEndedSync2 <=#Tp ShiftEndedSync1;
2245
end
2246
 
2247
always @ (posedge WB_CLK_I or posedge Reset)
2248
begin
2249
  if(Reset)
2250
    ShiftEndedSync3 <=#Tp 1'b0;
2251
  else
2252
  if(ShiftEndedSync1 & ~ShiftEndedSync2)
2253
    ShiftEndedSync3 <=#Tp 1'b1;
2254
  else
2255
  if(ShiftEnded)
2256
    ShiftEndedSync3 <=#Tp 1'b0;
2257
end
2258
 
2259
// Generation of the end-of-frame signal
2260
always @ (posedge WB_CLK_I or posedge Reset)
2261
begin
2262
  if(Reset)
2263
    ShiftEnded <=#Tp 1'b0;
2264
  else
2265
  if(ShiftEndedSync3 & MasterWbRX & m_wb_ack_i & RxBufferAlmostEmpty & ~ShiftEnded)
2266
    ShiftEnded <=#Tp 1'b1;
2267
  else
2268
  if(RxStatusWrite)
2269
    ShiftEnded <=#Tp 1'b0;
2270
end
2271
 
2272
always @ (posedge MRxClk or posedge Reset)
2273
begin
2274
  if(Reset)
2275
    ShiftEndedSync_c1 <=#Tp 1'b0;
2276
  else
2277
    ShiftEndedSync_c1 <=#Tp ShiftEndedSync2;
2278
end
2279
 
2280
always @ (posedge MRxClk or posedge Reset)
2281
begin
2282
  if(Reset)
2283
    ShiftEndedSync_c2 <=#Tp 1'b0;
2284
  else
2285
    ShiftEndedSync_c2 <=#Tp ShiftEndedSync_c1;
2286
end
2287
 
2288
// Generation of the end-of-frame signal
2289
always @ (posedge MRxClk or posedge Reset)
2290
begin
2291
  if(Reset)
2292
    RxEnableWindow <=#Tp 1'b0;
2293
  else
2294
  if(RxStartFrm)
2295
    RxEnableWindow <=#Tp 1'b1;
2296
  else
2297
  if(RxEndFrm | RxAbort)
2298
    RxEnableWindow <=#Tp 1'b0;
2299
end
2300
 
2301
 
2302
always @ (posedge WB_CLK_I or posedge Reset)
2303
begin
2304
  if(Reset)
2305
    RxAbortSync1 <=#Tp 1'b0;
2306
  else
2307
    RxAbortSync1 <=#Tp RxAbortLatched;
2308
end
2309
 
2310
always @ (posedge WB_CLK_I or posedge Reset)
2311
begin
2312
  if(Reset)
2313
    RxAbortSync2 <=#Tp 1'b0;
2314
  else
2315
    RxAbortSync2 <=#Tp RxAbortSync1;
2316
end
2317
 
2318
always @ (posedge WB_CLK_I or posedge Reset)
2319
begin
2320
  if(Reset)
2321
    RxAbortSync3 <=#Tp 1'b0;
2322
  else
2323
    RxAbortSync3 <=#Tp RxAbortSync2;
2324
end
2325
 
2326
always @ (posedge WB_CLK_I or posedge Reset)
2327
begin
2328
  if(Reset)
2329
    RxAbortSync4 <=#Tp 1'b0;
2330
  else
2331
    RxAbortSync4 <=#Tp RxAbortSync3;
2332
end
2333
 
2334
always @ (posedge MRxClk or posedge Reset)
2335
begin
2336
  if(Reset)
2337
    RxAbortSyncb1 <=#Tp 1'b0;
2338
  else
2339
    RxAbortSyncb1 <=#Tp RxAbortSync2;
2340
end
2341
 
2342
always @ (posedge MRxClk or posedge Reset)
2343
begin
2344
  if(Reset)
2345
    RxAbortSyncb2 <=#Tp 1'b0;
2346
  else
2347
    RxAbortSyncb2 <=#Tp RxAbortSyncb1;
2348
end
2349
 
2350
 
2351
always @ (posedge MRxClk or posedge Reset)
2352
begin
2353
  if(Reset)
2354
    RxAbortLatched <=#Tp 1'b0;
2355
  else
2356
  if(RxAbortSyncb2)
2357
    RxAbortLatched <=#Tp 1'b0;
2358
  else
2359
  if(RxAbort)
2360
    RxAbortLatched <=#Tp 1'b1;
2361
end
2362
 
2363
 
2364
always @ (posedge MRxClk or posedge Reset)
2365
begin
2366
  if(Reset)
2367
    LatchedRxLength[15:0] <=#Tp 16'h0;
2368
  else
2369
  if(LoadRxStatus)
2370
    LatchedRxLength[15:0] <=#Tp RxLength[15:0];
2371
end
2372
 
2373
 
2374
assign RxStatusIn = {ReceivedPauseFrm, AddressMiss, RxOverrun, InvalidSymbol, DribbleNibble, ReceivedPacketTooBig, ShortFrame, LatchedCrcError, RxLateCollision};
2375
 
2376
always @ (posedge MRxClk or posedge Reset)
2377
begin
2378
  if(Reset)
2379
    RxStatusInLatched <=#Tp 'h0;
2380
  else
2381
  if(LoadRxStatus)
2382
    RxStatusInLatched <=#Tp RxStatusIn;
2383
end
2384
 
2385
 
2386
// Rx overrun
2387
always @ (posedge WB_CLK_I or posedge Reset)
2388
begin
2389
  if(Reset)
2390
    RxOverrun <=#Tp 1'b0;
2391
  else
2392
  if(RxStatusWrite)
2393
    RxOverrun <=#Tp 1'b0;
2394
  else
2395
  if(RxBufferFull & WriteRxDataToFifo_wb)
2396
    RxOverrun <=#Tp 1'b1;
2397
end
2398
 
2399
 
2400
 
2401
wire TxError;
2402
assign TxError = TxUnderRun | RetryLimit | LateCollLatched | CarrierSenseLost;
2403
 
2404
wire RxError;
2405
 
2406
// ShortFrame (RxStatusInLatched[2]) can not set an error because short frames
2407
// are aborted when signal r_RecSmall is set to 0 in MODER register. 
2408
// AddressMiss is identifying that a frame was received because of the promiscous
2409
// mode and is not an error
2410
assign RxError = (|RxStatusInLatched[6:3]) | (|RxStatusInLatched[1:0]);
2411
 
2412
 
2413
 
2414
reg RxStatusWriteLatched;
2415
reg RxStatusWriteLatched_sync1;
2416
reg RxStatusWriteLatched_sync2;
2417
reg RxStatusWriteLatched_syncb1;
2418
reg RxStatusWriteLatched_syncb2;
2419
 
2420
 
2421
// Latching and synchronizing RxStatusWrite signal. This signal is used for clearing the ReceivedPauseFrm signal
2422
always @ (posedge WB_CLK_I or posedge Reset)
2423
begin
2424
  if(Reset)
2425
    RxStatusWriteLatched <=#Tp 1'b0;
2426
  else
2427
  if(RxStatusWriteLatched_syncb2)
2428
    RxStatusWriteLatched <=#Tp 1'b0;
2429
  else
2430
  if(RxStatusWrite)
2431
    RxStatusWriteLatched <=#Tp 1'b1;
2432
end
2433
 
2434
 
2435
always @ (posedge MRxClk or posedge Reset)
2436
begin
2437
  if(Reset)
2438
    begin
2439
      RxStatusWriteLatched_sync1 <=#Tp 1'b0;
2440
      RxStatusWriteLatched_sync2 <=#Tp 1'b0;
2441
    end
2442
  else
2443
    begin
2444
      RxStatusWriteLatched_sync1 <=#Tp RxStatusWriteLatched;
2445
      RxStatusWriteLatched_sync2 <=#Tp RxStatusWriteLatched_sync1;
2446
    end
2447
end
2448
 
2449
 
2450
always @ (posedge WB_CLK_I or posedge Reset)
2451
begin
2452
  if(Reset)
2453
    begin
2454
      RxStatusWriteLatched_syncb1 <=#Tp 1'b0;
2455
      RxStatusWriteLatched_syncb2 <=#Tp 1'b0;
2456
    end
2457
  else
2458
    begin
2459
      RxStatusWriteLatched_syncb1 <=#Tp RxStatusWriteLatched_sync2;
2460
      RxStatusWriteLatched_syncb2 <=#Tp RxStatusWriteLatched_syncb1;
2461
    end
2462
end
2463
 
2464
 
2465
 
2466
// Tx Done Interrupt
2467
always @ (posedge WB_CLK_I or posedge Reset)
2468
begin
2469
  if(Reset)
2470
    TxB_IRQ <=#Tp 1'b0;
2471
  else
2472
  if(TxStatusWrite & TxIRQEn)
2473
    TxB_IRQ <=#Tp ~TxError;
2474
  else
2475
    TxB_IRQ <=#Tp 1'b0;
2476
end
2477
 
2478
 
2479
// Tx Error Interrupt
2480
always @ (posedge WB_CLK_I or posedge Reset)
2481
begin
2482
  if(Reset)
2483
    TxE_IRQ <=#Tp 1'b0;
2484
  else
2485
  if(TxStatusWrite & TxIRQEn)
2486
    TxE_IRQ <=#Tp TxError;
2487
  else
2488
    TxE_IRQ <=#Tp 1'b0;
2489
end
2490
 
2491
 
2492
// Rx Done Interrupt
2493
always @ (posedge WB_CLK_I or posedge Reset)
2494
begin
2495
  if(Reset)
2496
    RxB_IRQ <=#Tp 1'b0;
2497
  else
2498
  if(RxStatusWrite & RxIRQEn & ReceivedPacketGood & (~ReceivedPauseFrm | ReceivedPauseFrm & r_PassAll & (~r_RxFlow)))
2499
    RxB_IRQ <=#Tp (~RxError);
2500
  else
2501
    RxB_IRQ <=#Tp 1'b0;
2502
end
2503
 
2504
 
2505
// Rx Error Interrupt
2506
always @ (posedge WB_CLK_I or posedge Reset)
2507
begin
2508
  if(Reset)
2509
    RxE_IRQ <=#Tp 1'b0;
2510
  else
2511
  if(RxStatusWrite & RxIRQEn & (~ReceivedPauseFrm | ReceivedPauseFrm & r_PassAll & (~r_RxFlow)))
2512
    RxE_IRQ <=#Tp RxError;
2513
  else
2514
    RxE_IRQ <=#Tp 1'b0;
2515
end
2516
 
2517
 
2518
// Busy Interrupt
2519
 
2520
reg Busy_IRQ_rck;
2521
reg Busy_IRQ_sync1;
2522
reg Busy_IRQ_sync2;
2523
reg Busy_IRQ_sync3;
2524
reg Busy_IRQ_syncb1;
2525
reg Busy_IRQ_syncb2;
2526
 
2527
 
2528
always @ (posedge MRxClk or posedge Reset)
2529
begin
2530
  if(Reset)
2531
    Busy_IRQ_rck <=#Tp 1'b0;
2532
  else
2533
  if(RxValid & RxStartFrm & ~RxReady)
2534
    Busy_IRQ_rck <=#Tp 1'b1;
2535
  else
2536
  if(Busy_IRQ_syncb2)
2537
    Busy_IRQ_rck <=#Tp 1'b0;
2538
end
2539
 
2540
always @ (posedge WB_CLK_I)
2541
begin
2542
    Busy_IRQ_sync1 <=#Tp Busy_IRQ_rck;
2543
    Busy_IRQ_sync2 <=#Tp Busy_IRQ_sync1;
2544
    Busy_IRQ_sync3 <=#Tp Busy_IRQ_sync2;
2545
end
2546
 
2547
always @ (posedge MRxClk)
2548
begin
2549
    Busy_IRQ_syncb1 <=#Tp Busy_IRQ_sync2;
2550
    Busy_IRQ_syncb2 <=#Tp Busy_IRQ_syncb1;
2551
end
2552
 
2553
assign Busy_IRQ = Busy_IRQ_sync2 & ~Busy_IRQ_sync3;
2554
 
2555
 
2556
 
2557
 
2558
 
2559
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.