OpenCores
URL https://opencores.org/ocsvn/ts7300_opencore/ts7300_opencore/trunk

Subversion Repositories ts7300_opencore

[/] [ts7300_opencore/] [trunk/] [pll.v] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joff
// megafunction wizard: %ALTPLL%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: altpll 
5
 
6
// ============================================================
7
// File Name: pll.v
8
// Megafunction Name(s):
9
//                      altpll
10
// ============================================================
11
// ************************************************************
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
13
//
14
// 6.0 Build 178 04/27/2006 SJ Web Edition
15
// ************************************************************
16
 
17
 
18
//Copyright (C) 1991-2006 Altera Corporation
19
//Your use of Altera Corporation's design tools, logic functions 
20
//and other software and tools, and its AMPP partner logic 
21
//functions, and any output files any of the foregoing 
22
//(including device programming or simulation files), and any 
23
//associated documentation or information are expressly subject 
24
//to the terms and conditions of the Altera Program License 
25
//Subscription Agreement, Altera MegaCore Function License 
26
//Agreement, or other applicable license agreement, including, 
27
//without limitation, that your use is for the sole purpose of 
28
//programming logic devices manufactured by Altera and sold by 
29
//Altera or its authorized distributors.  Please refer to the 
30
//applicable agreement for further details.
31
 
32
 
33
// synopsys translate_off
34
`timescale 1 ps / 1 ps
35
// synopsys translate_on
36
module pll (
37
        inclk0,
38
        c0,
39
        c1,
40
        locked);
41
 
42
        input     inclk0;
43
        output    c0;
44
        output    c1;
45
        output    locked;
46
 
47
        wire [5:0] sub_wire0;
48
        wire  sub_wire3;
49
        wire [0:0] sub_wire6 = 1'h0;
50
        wire [1:1] sub_wire2 = sub_wire0[1:1];
51
        wire [0:0] sub_wire1 = sub_wire0[0:0];
52
        wire  c0 = sub_wire1;
53
        wire  c1 = sub_wire2;
54
        wire  locked = sub_wire3;
55
        wire  sub_wire4 = inclk0;
56
        wire [1:0] sub_wire5 = {sub_wire6, sub_wire4};
57
 
58
        altpll  altpll_component (
59
                                .inclk (sub_wire5),
60
                                .clk (sub_wire0),
61
                                .locked (sub_wire3),
62
                                .activeclock (),
63
                                .areset (1'b0),
64
                                .clkbad (),
65
                                .clkena ({6{1'b1}}),
66
                                .clkloss (),
67
                                .clkswitch (1'b0),
68
                                .enable0 (),
69
                                .enable1 (),
70
                                .extclk (),
71
                                .extclkena ({4{1'b1}}),
72
                                .fbin (1'b1),
73
                                .pfdena (1'b1),
74
                                .pllena (1'b1),
75
                                .scanaclr (1'b0),
76
                                .scanclk (1'b0),
77
                                .scandata (1'b0),
78
                                .scandataout (),
79
                                .scandone (),
80
                                .scanread (1'b0),
81
                                .scanwrite (1'b0),
82
                                .sclkout0 (),
83
                                .sclkout1 ());
84
        defparam
85
                altpll_component.clk0_divide_by = 1,
86
                altpll_component.clk0_duty_cycle = 50,
87
                altpll_component.clk0_multiply_by = 6,
88
                altpll_component.clk0_phase_shift = "0",
89
                altpll_component.clk1_divide_by = 1,
90
                altpll_component.clk1_duty_cycle = 50,
91
                altpll_component.clk1_multiply_by = 3,
92
                altpll_component.clk1_phase_shift = "0",
93
                altpll_component.gate_lock_counter = 2500,
94
                altpll_component.gate_lock_signal = "YES",
95
                altpll_component.inclk0_input_frequency = 40000,
96
                altpll_component.intended_device_family = "Cyclone II",
97
                altpll_component.invalid_lock_multiplier = 5,
98
                altpll_component.lpm_type = "altpll",
99
                altpll_component.operation_mode = "NO_COMPENSATION",
100
                altpll_component.pll_type = "FAST",
101
                altpll_component.port_activeclock = "PORT_UNUSED",
102
                altpll_component.port_areset = "PORT_UNUSED",
103
                altpll_component.port_clkbad0 = "PORT_UNUSED",
104
                altpll_component.port_clkbad1 = "PORT_UNUSED",
105
                altpll_component.port_clkloss = "PORT_UNUSED",
106
                altpll_component.port_clkswitch = "PORT_UNUSED",
107
                altpll_component.port_fbin = "PORT_UNUSED",
108
                altpll_component.port_inclk0 = "PORT_USED",
109
                altpll_component.port_inclk1 = "PORT_UNUSED",
110
                altpll_component.port_locked = "PORT_USED",
111
                altpll_component.port_pfdena = "PORT_UNUSED",
112
                altpll_component.port_pllena = "PORT_UNUSED",
113
                altpll_component.port_scanaclr = "PORT_UNUSED",
114
                altpll_component.port_scanclk = "PORT_UNUSED",
115
                altpll_component.port_scandata = "PORT_UNUSED",
116
                altpll_component.port_scandataout = "PORT_UNUSED",
117
                altpll_component.port_scandone = "PORT_UNUSED",
118
                altpll_component.port_scanread = "PORT_UNUSED",
119
                altpll_component.port_scanwrite = "PORT_UNUSED",
120
                altpll_component.port_clk0 = "PORT_USED",
121
                altpll_component.port_clk1 = "PORT_USED",
122
                altpll_component.port_clk2 = "PORT_UNUSED",
123
                altpll_component.port_clk3 = "PORT_UNUSED",
124
                altpll_component.port_clk4 = "PORT_UNUSED",
125
                altpll_component.port_clk5 = "PORT_UNUSED",
126
                altpll_component.port_clkena0 = "PORT_UNUSED",
127
                altpll_component.port_clkena1 = "PORT_UNUSED",
128
                altpll_component.port_clkena2 = "PORT_UNUSED",
129
                altpll_component.port_clkena3 = "PORT_UNUSED",
130
                altpll_component.port_clkena4 = "PORT_UNUSED",
131
                altpll_component.port_clkena5 = "PORT_UNUSED",
132
                altpll_component.port_enable0 = "PORT_UNUSED",
133
                altpll_component.port_enable1 = "PORT_UNUSED",
134
                altpll_component.port_extclk0 = "PORT_UNUSED",
135
                altpll_component.port_extclk1 = "PORT_UNUSED",
136
                altpll_component.port_extclk2 = "PORT_UNUSED",
137
                altpll_component.port_extclk3 = "PORT_UNUSED",
138
                altpll_component.port_extclkena0 = "PORT_UNUSED",
139
                altpll_component.port_extclkena1 = "PORT_UNUSED",
140
                altpll_component.port_extclkena2 = "PORT_UNUSED",
141
                altpll_component.port_extclkena3 = "PORT_UNUSED",
142
                altpll_component.port_sclkout0 = "PORT_UNUSED",
143
                altpll_component.port_sclkout1 = "PORT_UNUSED",
144
                altpll_component.valid_lock_multiplier = 1;
145
 
146
 
147
endmodule
148
 
149
// ============================================================
150
// CNX file retrieval info
151
// ============================================================
152
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
153
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
154
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
155
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
156
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
157
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
158
// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
159
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
160
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
161
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
162
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
163
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "1"
164
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
165
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
166
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
167
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
168
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
169
// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone II"
170
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
171
// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
172
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
173
// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
174
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
175
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
176
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
177
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "1"
178
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "2500"
179
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
180
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "25.000"
181
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
182
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "25.000"
183
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
184
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
185
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
186
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
187
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
188
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
189
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
190
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
191
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
192
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
193
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
194
// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
195
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "6"
196
// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "3"
197
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0"
198
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "75.00000000"
199
// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.00000000"
200
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
201
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
202
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
203
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
204
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
205
// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
206
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
207
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
208
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
209
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
210
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
211
// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
212
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
213
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
214
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
215
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
216
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
217
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
218
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
219
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
220
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
221
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
222
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
223
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
224
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
225
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
226
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
227
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
228
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
229
// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
230
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
231
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
232
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
233
// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
234
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
235
// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
236
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
237
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
238
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
239
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
240
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "6"
241
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
242
// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
243
// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
244
// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "3"
245
// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
246
// Retrieval info: CONSTANT: GATE_LOCK_COUNTER NUMERIC "2500"
247
// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "YES"
248
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "40000"
249
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
250
// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
251
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
252
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NO_COMPENSATION"
253
// Retrieval info: CONSTANT: PLL_TYPE STRING "FAST"
254
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
255
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED"
256
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
257
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
258
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
259
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
260
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
261
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
262
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
263
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
264
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
265
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
266
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
267
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
268
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
269
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
270
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
271
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
272
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
273
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
274
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
275
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
276
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
277
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
278
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
279
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
280
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
281
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
282
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
283
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
284
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
285
// Retrieval info: CONSTANT: PORT_enable0 STRING "PORT_UNUSED"
286
// Retrieval info: CONSTANT: PORT_enable1 STRING "PORT_UNUSED"
287
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
288
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
289
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
290
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
291
// Retrieval info: CONSTANT: PORT_extclkena0 STRING "PORT_UNUSED"
292
// Retrieval info: CONSTANT: PORT_extclkena1 STRING "PORT_UNUSED"
293
// Retrieval info: CONSTANT: PORT_extclkena2 STRING "PORT_UNUSED"
294
// Retrieval info: CONSTANT: PORT_extclkena3 STRING "PORT_UNUSED"
295
// Retrieval info: CONSTANT: PORT_sclkout0 STRING "PORT_UNUSED"
296
// Retrieval info: CONSTANT: PORT_sclkout1 STRING "PORT_UNUSED"
297
// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
298
// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
299
// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
300
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
301
// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
302
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
303
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
304
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
305
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
306
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
307
// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
308
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
309
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE FALSE
310
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE FALSE
311
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE FALSE
312
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf TRUE
313
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE FALSE
314
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v TRUE FALSE
315
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_waveforms.html TRUE FALSE
316
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_wave*.jpg FALSE FALSE
317
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.