OpenCores
URL https://opencores.org/ocsvn/ts7300_opencore/ts7300_opencore/trunk

Subversion Repositories ts7300_opencore

[/] [ts7300_opencore/] [trunk/] [ts7300_top.qsf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 joff
# Copyright (C) 1991-2006 Altera Corporation
2
# Your use of Altera Corporation's design tools, logic functions
3
# and other software and tools, and its AMPP partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the Altera Program License
8
# Subscription Agreement, Altera MegaCore Function License
9
# Agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by Altera and sold by
12
# Altera or its authorized distributors.  Please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# The default values for assignments are stored in the file
17
#               ts7300_top_assignment_defaults.qdf
18
# If this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# Altera recommends that you do not modify this file. This
22
# file is updated automatically by the Quartus II software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
set_global_assignment -name FAMILY "Cyclone II"
27
set_global_assignment -name DEVICE EP2C8Q208C8
28
set_global_assignment -name TOP_LEVEL_ENTITY ts7300_top
29
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 6.0
30
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:04:49  JUNE 04, 2006"
31
set_global_assignment -name LAST_QUARTUS_VERSION 6.0
32
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
33
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
34
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VERILOG -section_id eda_simulation
35
set_location_assignment PIN_8 -to sdram_we_pad
36
set_location_assignment PIN_12 -to sdram_cas_pad
37
set_location_assignment PIN_13 -to sdram_ras_pad
38
set_location_assignment PIN_82 -to sdram_ba_pad[0]
39
set_location_assignment PIN_30 -to sdram_ba_pad[1]
40
set_location_assignment PIN_31 -to sdram_add_pad[10]
41
set_location_assignment PIN_33 -to sdram_add_pad[0]
42
set_location_assignment PIN_34 -to sdram_add_pad[12]
43
set_location_assignment PIN_35 -to sdram_add_pad[11]
44
set_location_assignment PIN_37 -to sdram_add_pad[9]
45
set_location_assignment PIN_39 -to sdram_add_pad[8]
46
set_location_assignment PIN_40 -to sdram_add_pad[7]
47
set_location_assignment PIN_41 -to sdram_add_pad[1]
48
set_location_assignment PIN_43 -to sdram_add_pad[2]
49
set_location_assignment PIN_44 -to sdram_add_pad[3]
50
set_location_assignment PIN_45 -to sdram_add_pad[6]
51
set_location_assignment PIN_46 -to sdram_add_pad[5]
52
set_location_assignment PIN_48 -to sdram_add_pad[4]
53
set_location_assignment PIN_56 -to bd_pad[7]
54
set_location_assignment PIN_57 -to bd_pad[6]
55
set_location_assignment PIN_58 -to bd_pad[4]
56
set_location_assignment PIN_59 -to bd_pad[5]
57
set_location_assignment PIN_60 -to bd_pad[3]
58
set_location_assignment PIN_61 -to bd_pad[2]
59
set_location_assignment PIN_63 -to fl_d_pad[1]
60
set_location_assignment PIN_64 -to bd_pad[0]
61
set_location_assignment PIN_67 -to fl_d_pad[0]
62
set_location_assignment PIN_68 -to bd_pad[1]
63
set_location_assignment PIN_24 -to start_cycle_pad
64
set_location_assignment PIN_69 -to fl_d_pad[3]
65
set_location_assignment PIN_72 -to fl_d_pad[6]
66
set_location_assignment PIN_74 -to clk_75mhz_pad
67
set_location_assignment PIN_75 -to fl_d_pad[2]
68
set_location_assignment PIN_76 -to isa_add11_pad
69
set_location_assignment PIN_77 -to fl_d_pad[5]
70
set_location_assignment PIN_27 -to bd_oe_pad
71
set_location_assignment PIN_28 -to add_pad[0]
72
set_location_assignment PIN_81 -to add_pad[2]
73
set_location_assignment PIN_84 -to dma_req_pad
74
set_location_assignment PIN_86 -to fl_d_pad[7]
75
set_location_assignment PIN_87 -to add_pad[1]
76
set_location_assignment PIN_88 -to fl_d_pad[4]
77
set_location_assignment PIN_89 -to add_pad[3]
78
set_location_assignment PIN_90 -to irq7_pad
79
set_location_assignment PIN_92 -to mux_cntrl_pad
80
set_location_assignment PIN_94 -to isa_wait_pad
81
set_location_assignment PIN_95 -to mux_pad[2]
82
set_location_assignment PIN_96 -to mux_pad[3]
83
set_location_assignment PIN_97 -to mux_pad[1]
84
set_location_assignment PIN_99 -to mux_pad[0]
85
set_location_assignment PIN_101 -to isa_add15_pad
86
set_location_assignment PIN_102 -to isa_add1_pad
87
set_location_assignment PIN_103 -to isa_add14_pad
88
set_location_assignment PIN_104 -to isa_add12_pad
89
set_location_assignment PIN_105 -to rd_mux_pad
90
set_location_assignment PIN_106 -to sd_soft_power_pad
91
set_location_assignment PIN_107 -to eth_pd_pad
92
set_location_assignment PIN_108 -to sd_wprot_pad
93
set_location_assignment PIN_110 -to sd_hard_power_pad
94
set_location_assignment PIN_112 -to eth_col_pad
95
set_location_assignment PIN_113 -to eth_txerr_pad
96
set_location_assignment PIN_114 -to eth_crs_pad
97
set_location_assignment PIN_115 -to eth_txdat_pad[3]
98
set_location_assignment PIN_116 -to eth_txdat_pad[2]
99
set_location_assignment PIN_117 -to eth_txdat_pad[0]
100
set_location_assignment PIN_118 -to eth_txen_pad
101
set_location_assignment PIN_127 -to eth_txdat_pad[1]
102
set_location_assignment PIN_128 -to sd_present_pad
103
set_location_assignment PIN_129 -to clk_25mhz_pad
104
set_location_assignment PIN_130 -to eth_txclk_pad
105
set_location_assignment PIN_131 -to eth_rxerr_pad
106
set_location_assignment PIN_132 -to eth_rxclk_pad
107
set_location_assignment PIN_133 -to eth_rxdv_pad
108
set_location_assignment PIN_134 -to eth_mdio_pad
109
set_location_assignment PIN_135 -to eth_mdc_pad
110
set_location_assignment PIN_137 -to eth_rxdat_pad[3]
111
set_location_assignment PIN_138 -to eth_rxdat_pad[0]
112
set_location_assignment PIN_139 -to eth_rxdat_pad[1]
113
set_location_assignment PIN_141 -to eth_rxdat_pad[2]
114
set_location_assignment PIN_142 -to red_pad[0]
115
set_location_assignment PIN_143 -to red_pad[1]
116
set_location_assignment PIN_144 -to blue_pad[3]
117
set_location_assignment PIN_145 -to blue_pad[4]
118
set_location_assignment PIN_147 -to blue_pad[0]
119
set_location_assignment PIN_149 -to red_pad[4]
120
set_location_assignment PIN_151 -to red_pad[3]
121
set_location_assignment PIN_152 -to hsync_pad
122
set_location_assignment PIN_161 -to vsync_pad
123
set_location_assignment PIN_162 -to blue_pad[1]
124
set_location_assignment PIN_163 -to blue_pad[2]
125
set_location_assignment PIN_168 -to red_pad[2]
126
set_location_assignment PIN_191 -to sd_cmd_pad
127
set_location_assignment PIN_206 -to wr_232_pad
128
set_location_assignment PIN_208 -to sd_clk_pad
129
set_location_assignment PIN_165 -to green_pad[0]
130
set_location_assignment PIN_160 -to green_pad[1]
131
set_location_assignment PIN_164 -to green_pad[2]
132
set_location_assignment PIN_146 -to green_pad[3]
133
set_location_assignment PIN_150 -to green_pad[4]
134
set_location_assignment PIN_179 -to sd_dat_pad[0]
135
set_location_assignment PIN_173 -to sd_dat_pad[1]
136
set_location_assignment PIN_170 -to sd_dat_pad[2]
137
set_location_assignment PIN_171 -to sd_dat_pad[3]
138
set_instance_assignment -name IO_STANDARD LVCMOS -to *
139
set_location_assignment PIN_47 -to sdram_clk_pad
140
set_location_assignment PIN_169 -to dio0to8_pad[0]
141
set_location_assignment PIN_175 -to dio0to8_pad[1]
142
set_location_assignment PIN_180 -to dio0to8_pad[2]
143
set_location_assignment PIN_181 -to dio0to8_pad[3]
144
set_location_assignment PIN_187 -to dio0to8_pad[4]
145
set_location_assignment PIN_189 -to dio0to8_pad[5]
146
set_location_assignment PIN_195 -to dio0to8_pad[6]
147
set_location_assignment PIN_197 -to dio0to8_pad[7]
148
set_location_assignment PIN_199 -to dio0to8_pad[8]
149
set_location_assignment PIN_23 -to dio9_pad
150
set_location_assignment PIN_176 -to dio10to17_pad[0]
151
set_location_assignment PIN_182 -to dio10to17_pad[1]
152
set_location_assignment PIN_185 -to dio10to17_pad[2]
153
set_location_assignment PIN_188 -to dio10to17_pad[3]
154
set_location_assignment PIN_192 -to dio10to17_pad[4]
155
set_location_assignment PIN_193 -to dio10to17_pad[5]
156
set_location_assignment PIN_198 -to dio10to17_pad[6]
157
set_location_assignment PIN_200 -to dio10to17_pad[7]
158
set_location_assignment PIN_207 -to sdram_data_pad[0]
159
set_location_assignment PIN_203 -to sdram_data_pad[5]
160
set_location_assignment PIN_201 -to sdram_data_pad[6]
161
set_location_assignment PIN_1 -to sdram_data_pad[1]
162
set_location_assignment PIN_2 -to sdram_data_pad[2]
163
set_location_assignment PIN_3 -to sdram_data_pad[3]
164
set_location_assignment PIN_4 -to sdram_data_pad[4]
165
set_location_assignment PIN_6 -to sdram_data_pad[7]
166
set_location_assignment PIN_70 -to sdram_data_pad[8]
167
set_location_assignment PIN_80 -to sdram_data_pad[9]
168
set_location_assignment PIN_205 -to sdram_data_pad[10]
169
set_location_assignment PIN_15 -to sdram_data_pad[11]
170
set_location_assignment PIN_14 -to sdram_data_pad[12]
171
set_location_assignment PIN_11 -to sdram_data_pad[13]
172
set_location_assignment PIN_10 -to sdram_data_pad[14]
173
set_location_assignment PIN_5 -to sdram_data_pad[15]
174
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to bd_pad
175
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to fl_d_pad
176
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to bd_pad
177
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to fl_d_pad
178
set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to mux_pad
179
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_col_pad
180
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_crs_pad
181
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_mdc_pad
182
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_mdio_pad
183
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_pd_pad
184
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxclk_pad
185
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxdat_pad[0]
186
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxdat_pad[1]
187
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxdat_pad[2]
188
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxdat_pad[3]
189
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxdv_pad
190
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_rxerr_pad
191
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txclk_pad
192
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txdat_pad[0]
193
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txdat_pad[1]
194
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txdat_pad[2]
195
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txdat_pad[3]
196
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txen_pad
197
set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to eth_txerr_pad
198
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_cmd_pad
199
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_dat_pad
200
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_present_pad
201
set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to sd_wprot_pad
202
set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to *
203
set_instance_assignment -name PAD_TO_INPUT_REGISTER_DELAY 0 -to bd_pad
204
set_instance_assignment -name PAD_TO_INPUT_REGISTER_DELAY 0 -to fl_d_pad
205
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to bd_pad
206
set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to fl_d_pad
207
set_instance_assignment -name TCO_REQUIREMENT "10 ns" -from epwbm_done -to isa_wait_pad
208
set_global_assignment -name VERILOG_FILE altera_dpram_16x32.v
209
set_global_assignment -name VERILOG_FILE altera_spram_256x32.v
210
set_global_assignment -name VERILOG_FILE altera_ram.v
211
set_global_assignment -name VERILOG_FILE ethernet/eth_wishbone.v
212
set_global_assignment -name VERILOG_FILE ethernet/eth_clockgen.v
213
set_global_assignment -name VERILOG_FILE ethernet/eth_cop.v
214
set_global_assignment -name VERILOG_FILE ethernet/eth_crc.v
215
set_global_assignment -name VERILOG_FILE ethernet/eth_defines.v
216
set_global_assignment -name VERILOG_FILE ethernet/eth_fifo.v
217
set_global_assignment -name VERILOG_FILE ethernet/eth_maccontrol.v
218
set_global_assignment -name VERILOG_FILE ethernet/eth_macstatus.v
219
set_global_assignment -name VERILOG_FILE ethernet/eth_miim.v
220
set_global_assignment -name VERILOG_FILE ethernet/eth_outputcontrol.v
221
set_global_assignment -name VERILOG_FILE ethernet/eth_random.v
222
set_global_assignment -name VERILOG_FILE ethernet/eth_receivecontrol.v
223
set_global_assignment -name VERILOG_FILE ethernet/eth_register.v
224
set_global_assignment -name VERILOG_FILE ethernet/eth_registers.v
225
set_global_assignment -name VERILOG_FILE ethernet/eth_rxaddrcheck.v
226
set_global_assignment -name VERILOG_FILE ethernet/eth_rxcounters.v
227
set_global_assignment -name VERILOG_FILE ethernet/eth_rxethmac.v
228
set_global_assignment -name VERILOG_FILE ethernet/eth_rxstatem.v
229
set_global_assignment -name VERILOG_FILE ethernet/eth_shiftreg.v
230
set_global_assignment -name VERILOG_FILE ethernet/eth_spram_256x32.v
231
set_global_assignment -name VERILOG_FILE ethernet/eth_top.v
232
set_global_assignment -name VERILOG_FILE ethernet/eth_transmitcontrol.v
233
set_global_assignment -name VERILOG_FILE ethernet/eth_txcounters.v
234
set_global_assignment -name VERILOG_FILE ethernet/eth_txethmac.v
235
set_global_assignment -name VERILOG_FILE ethernet/eth_txstatem.v
236
set_global_assignment -name VERILOG_FILE pll.v
237
set_global_assignment -name VERILOG_FILE ts7300_top.v
238
 
239
set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
240
set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
241
set_global_assignment -name USE_CHECKSUM_AS_USERCODE ON
242
set_global_assignment -name GENERATE_RBF_FILE ON
243
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
244
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
245
set_global_assignment -name VERILOG_FILE wb32_blockram.v
246
set_global_assignment -name VERILOG_FILE wb32_bridge.v
247
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE AREA
248
set_global_assignment -name MUX_RESTRUCTURE ON
249
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
250
set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII "MINIMIZE AREA WITH CHAINS"
251
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON
252
set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
253
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
254
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON
255
set_global_assignment -name AUTO_RAM_RECOGNITION ON
256
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION ON
257
set_global_assignment -name STATE_MACHINE_PROCESSING "MINIMAL BITS"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.