OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_125.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS125N: Quad bus buffer (3-state outputs)       --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_125 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '0';
20
    Period   : time           := 150 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_125 is
27
    signal RS     : std_logic;
28
    signal CLK    : std_logic;
29
    signal JC, BC : unsigned(7 downto 0);           -- Test stimuli
30
    signal D,  E  : std_logic_vector(3 downto 0);   -- Expected & actual results
31
 
32
    subtype quad is std_logic_vector(3 downto 0);
33
    signal  I, G : quad;
34
 
35
begin
36
    I <= quad(BC(7 downto 4));
37
    G <= quad(BC(3 downto 0));
38
 
39
    -----------------------------------------------------------------------
40
    -- Standard testbench components
41
    -----------------------------------------------------------------------
42
    TB: TTLBench
43
    generic map(
44
        StimClk  => StimClk,
45
        CheckClk => CheckClk,
46
        Period   => Period,
47
        Finish   => Finish,
48
        SevLevel => SevLevel
49
    )
50
    port map(
51
        J    => JC,
52
        B    => BC,
53
        CLK  => CLK,
54
        RS   => RS,
55
        D    => D,
56
        E    => E
57
    );
58
 
59
    -----------------------------------------------------------------------
60
    -- Generate expected results (with zero delays)
61
    -----------------------------------------------------------------------
62
 
63
    GG: for j in G'range generate
64
    begin
65
        D(j) <= I(j) when G(j) = '0' else 'Z';
66
    end generate;
67
 
68
    -----------------------------------------------------------------------
69
    -- Device Under Test...                        
70
    -----------------------------------------------------------------------
71
    DUT: SN74LS125N
72
    port map(
73
    X_1  => G(0),  -- E1\
74
    X_2  => I(0),  -- D1
75
    X_3  => E(0),  -- Q1
76
    X_4  => G(1),  -- E2\
77
    X_5  => I(1),  -- D2
78
    X_6  => E(1),  -- Q2
79
    X_7  => open,  -- GND
80
    X_8  => E(2),  -- Q3
81
    X_9  => I(2),  -- D3
82
    X_10 => G(2),  -- E3\
83
    X_11 => E(3),  -- Q4
84
    X_12 => I(3),  -- D4
85
    X_13 => G(3),  -- E4\
86
    X_14 => open   -- Vcc
87
    );
88
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.