OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_133.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS133N: 13-input NAND gate                      --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_133 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '0';
20
    Period   : time           := 100 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_133 is
27
    signal J, B : unsigned(12 downto 0);        -- Test stimuli
28
    signal D, E : std_logic_vector(0 downto 0); -- Expected & actual results
29
 
30
    begin
31
    -----------------------------------------------------------------------
32
    -- Standard testbench components
33
    -----------------------------------------------------------------------
34
    TB: TTLBench
35
    generic map(
36
        StimClk  => StimClk,
37
        CheckClk => CheckClk,
38
        Period   => Period,
39
        Finish   => Finish,
40
        SevLevel => SevLevel
41
    )
42
    port map(
43
        J   => J,
44
        B   => B,
45
        CLK => open,
46
        RS  => open,
47
        D   => D,
48
        E   => E
49
    );
50
 
51
    -----------------------------------------------------------------------
52
    -- Generate expected results (with zero delays)
53
    -----------------------------------------------------------------------
54
    D(0) <= nand_reduce(std_logic_vector(J));
55
 
56
    -----------------------------------------------------------------------
57
    -- Device Under Test...                        
58
    -----------------------------------------------------------------------
59
    DUT: SN74LS133N
60
    port map(
61
    X_1  => J(0),  -- 1A
62
    X_2  => J(1),  -- 1B
63
    X_3  => J(2),  -- 1C
64
    X_4  => J(3),  -- 1D
65
    X_5  => J(4),  -- 1E
66
    X_6  => J(5),  -- 1F
67
    X_7  => J(6),  -- 1G
68
    X_8  => open,  -- GND
69
    X_9  => E(0),  -- 1Y\
70
    X_10 => J(7),  -- 1H
71
    X_11 => J(8),  -- 1J
72
    X_12 => J(9),  -- 1K
73
    X_13 => J(10), -- 1L
74
    X_14 => J(11), -- 1M
75
    X_15 => J(12), -- 1N
76
    X_16 => open   -- Vcc
77
);
78
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.