OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_14.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS14N: Hex Schmitt trigger inverter             --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_14 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '0';
20
    Period   : time           := 50 ns;
21
    Finish   : time           := 20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_14 is
27
    signal J, B : unsigned(5 downto 0);         -- Test stimuli
28
    signal D, E : std_logic_vector(5 downto 0); -- Expected & actual results
29
 
30
    begin
31
    -----------------------------------------------------------------------
32
    -- Standard testbench components
33
    -----------------------------------------------------------------------
34
    TB: TTLBench
35
    generic map(
36
        StimClk  => StimClk,
37
        CheckClk => CheckClk,
38
        Period   => Period,
39
        Finish   => Finish,
40
        SevLevel => SevLevel
41
    )
42
    port map(
43
        J   => J,
44
        B   => B,
45
        CLK => open,
46
        RS  => open,
47
        D   => D,
48
        E   => E
49
    );
50
 
51
    -----------------------------------------------------------------------
52
    -- Generate expected results (with zero delays)
53
    -----------------------------------------------------------------------
54
    D(0) <= not J(0);
55
    D(1) <= not J(1);
56
    D(2) <= not J(2);
57
    D(3) <= not J(3);
58
    D(4) <= not J(4);
59
    D(5) <= not J(5);
60
 
61
    -----------------------------------------------------------------------
62
    -- Device Under Test...                        
63
    -----------------------------------------------------------------------
64
    DUT: SN74LS14N
65
    port map(
66
    X_1  => J(0),  -- 1A
67
    X_2  => E(0),  -- 1Y\
68
    X_3  => J(1),  -- 2A
69
    X_4  => E(1),  -- 2Y\
70
    X_5  => J(2),  -- 3A
71
    X_6  => E(2),  -- 3Y\
72
    X_7  => open,  -- GND
73
    X_8  => E(3),  -- 4Y\
74
    X_9  => J(3),  -- 4A
75
    X_10 => E(4),  -- 5Y\
76
    X_11 => J(4),  -- 5A
77
    X_12 => E(5),  -- 6Y\
78
    X_13 => J(5),  -- 6A
79
    X_14 => open   -- Vcc
80
);
81
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.