OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_150.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74150N: 16-input multiplexer                      --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_150 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           :=  50 ns;
21
    Finish   : time           := 100 ms;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_150 is
27
    signal J, B : unsigned(20 downto 0);         -- Test stimuli
28
    signal D, E : std_logic_vector(0 downto 0); -- Expected & actual results
29
    signal A    : unsigned(3 downto 0);
30
    signal chn  : natural range 15 downto 0;
31
 
32
    begin
33
 
34
    -----------------------------------------------------------------------
35
    -- Standard testbench components
36
    -----------------------------------------------------------------------
37
    TB: TTLBench
38
    generic map(
39
        StimClk  => StimClk,
40
        CheckClk => CheckClk,
41
        Period   => Period,
42
        Finish   => Finish,
43
        SevLevel => SevLevel
44
    )
45
    port map(
46
        J   => J,
47
        B   => B,
48
        CLK => open,
49
        RS  => open,
50
        D   => D,
51
        E   => E
52
    );
53
 
54
    -----------------------------------------------------------------------
55
    -- Generate expected results (with zero delays)
56
    -----------------------------------------------------------------------
57
    A    <= B(4 downto 1);
58
    chn  <= TTL_to_integer(A);
59
    D(0) <= B(0) or B(5+chn);
60
 
61
    -----------------------------------------------------------------------
62
    -- Device Under Test...                        
63
    -----------------------------------------------------------------------
64
    DUT: SN74150N
65
    port map(
66
    X_1  => B(12), -- I7
67
    X_2  => B(11), -- I6
68
    X_3  => B(10), -- I5
69
    X_4  => B(9),  -- I4
70
    X_5  => B(8),  -- I3
71
    X_6  => B(7),  -- I2
72
    X_7  => B(6),  -- I1
73
    X_8  => B(5),  -- I0
74
    X_9  => B(0),  -- E\
75
    X_10 => E(0),  -- Z\
76
    X_11 => B(4),  -- S3
77
    X_12 => open,  -- GND
78
    X_13 => B(3),  -- S2
79
    X_14 => B(2),  -- S1
80
    X_15 => B(1),  -- S0
81
    X_16 => B(20), -- I15
82
    X_17 => B(19), -- I14
83
    X_18 => B(18), -- I13
84
    X_19 => B(17), -- I12
85
    X_20 => B(16), -- I11
86
    X_21 => B(15), -- I10
87
    X_22 => B(14), -- I9
88
    X_23 => B(13), -- I8
89
    X_24 => open   -- Vcc
90
);
91
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.