OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_151.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS151N: 8-input multiplexer                     --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_151 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           :=  50 ns;
21
    Finish   : time           := 200 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_151 is
27
    signal J, B : unsigned(11 downto 0);         -- Test stimuli
28
    signal D, E : std_logic_vector(1 downto 0); -- Expected & actual results
29
    signal A    : unsigned(2 downto 0);
30
    signal chn  : natural range 7 downto 0;
31
 
32
    begin
33
 
34
    -----------------------------------------------------------------------
35
    -- Standard testbench components
36
    -----------------------------------------------------------------------
37
    TB: TTLBench
38
    generic map(
39
        StimClk  => StimClk,
40
        CheckClk => CheckClk,
41
        Period   => Period,
42
        Finish   => Finish,
43
        SevLevel => SevLevel
44
    )
45
    port map(
46
        J   => J,
47
        B   => B,
48
        CLK => open,
49
        RS  => open,
50
        D   => D,
51
        E   => E
52
    );
53
 
54
    -----------------------------------------------------------------------
55
    -- Generate expected results (with zero delays)
56
    -----------------------------------------------------------------------
57
    A    <= B(3 downto 1);
58
    chn  <= TTL_to_integer(A);
59
    D(1) <= B(0) or not B(4+chn);
60
    D(0) <= not D(1);
61
 
62
    -----------------------------------------------------------------------
63
    -- Device Under Test...                        
64
    -----------------------------------------------------------------------
65
    DUT: SN74LS151N
66
    port map(
67
    X_1  => B(7),  -- I3
68
    X_2  => B(6),  -- I2
69
    X_3  => B(5),  -- I1
70
    X_4  => B(4),  -- I0
71
    X_5  => E(0),  -- Z
72
    X_6  => E(1),  -- Z\
73
    X_7  => B(0),  -- E\
74
    X_8  => open,  -- GND
75
    X_9  => B(3),  -- S2
76
    X_10 => B(2),  -- S1
77
    X_11 => B(1),  -- S0
78
    X_12 => B(11), -- I7
79
    X_13 => B(10), -- I6
80
    X_14 => B(9),  -- I5
81
    X_15 => B(8),  -- I4
82
    X_16 => open   -- Vcc
83
);
84
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.