OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_154.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74154N: 1-of-16 decoder/demultiplexer             --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_154 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '0';
20
    Period   : time           := 100 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_154 is
27
    signal J, B : unsigned(5 downto 0);         -- Test stimuli
28
    signal D, E : std_logic_vector(15 downto 0); -- Expected & actual results
29
 
30
    begin
31
 
32
    -----------------------------------------------------------------------
33
    -- Standard testbench components
34
    -----------------------------------------------------------------------
35
    TB: TTLBench
36
    generic map(
37
        StimClk  => StimClk,
38
        CheckClk => CheckClk,
39
        Period   => Period,
40
        Finish   => Finish,
41
        SevLevel => SevLevel
42
    )
43
    port map(
44
        J   => J,
45
        B   => B,
46
        CLK => open,
47
        RS  => open,
48
        D   => D,
49
        E   => E
50
    );
51
 
52
    -----------------------------------------------------------------------
53
    -- Generate expected results (with zero delays)
54
    -----------------------------------------------------------------------
55
    process(B) is
56
        variable chn : natural range 15 downto 0;
57
        variable EN  : std_logic;
58
    begin
59
        chn := TTL_to_integer(B(5 downto 2));
60
        EN  := B(1) or B(0);
61
        E   <= (others => '1');
62
        E(chn) <= EN;
63
    end process;
64
 
65
    -----------------------------------------------------------------------
66
    -- Device Under Test...                        
67
    -----------------------------------------------------------------------
68
    DUT: SN74154N
69
    port map(
70
    X_1  => D(0),  -- O0\
71
    X_2  => D(1),  -- O1\
72
    X_3  => D(2),  -- O2\
73
    X_4  => D(3),  -- O3\
74
    X_5  => D(4),  -- O4\
75
    X_6  => D(5),  -- O5\
76
    X_7  => D(6),  -- O6\
77
    X_8  => D(7),  -- O7\
78
    X_9  => D(8),  -- O8\
79
    X_10 => D(9),  -- O9\
80
    X_11 => D(10), -- O10\
81
    X_12 => open,  -- GND
82
    X_13 => D(11), -- O11\
83
    X_14 => D(12), -- O12\
84
    X_15 => D(13), -- O13\
85
    X_16 => D(14), -- O14\
86
    X_17 => D(15), -- O15\
87
    X_18 => B(0),  -- E0\
88
    X_19 => B(1),  -- E1\
89
    X_20 => B(5),  -- A3
90
    X_21 => B(4),  -- A2
91
    X_22 => B(3),  -- A1
92
    X_23 => B(2),  -- A0
93
    X_24 => open   -- Vcc
94
);
95
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.