OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_155.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS155N: Dual 1-of-4 decoder/demultiplexer       --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_155 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           :=  50 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_155 is
27
    signal J, B : unsigned(5 downto 0);         -- Test stimuli
28
    signal D, E : std_logic_vector(7 downto 0); -- Expected & actual results
29
 
30
    begin
31
 
32
    -----------------------------------------------------------------------
33
    -- Standard testbench components
34
    -----------------------------------------------------------------------
35
    TB: TTLBench
36
    generic map(
37
        StimClk  => StimClk,
38
        CheckClk => CheckClk,
39
        Period   => Period,
40
        Finish   => Finish,
41
        SevLevel => SevLevel
42
    )
43
    port map(
44
        J   => J,
45
        B   => B,
46
        CLK => open,
47
        RS  => open,
48
        D   => D,
49
        E   => E
50
    );
51
 
52
    -----------------------------------------------------------------------
53
    -- Generate expected results (with zero delays)
54
    -----------------------------------------------------------------------
55
 
56
    process(B) is
57
        variable N      : natural range 3 downto 0;
58
        variable EA, EB : std_logic;
59
    begin
60
        N  := TTL_to_integer(B(5 downto 4));
61
        EA := B(0) and not B(1);
62
        EB := not(B(2) or B(3));
63
 
64
        D <= (others => '1');
65
        D(N+4) <= not EB;
66
        D(N)   <= not EA;
67
    end process;
68
 
69
    -----------------------------------------------------------------------
70
    -- Device Under Test...                        
71
    -----------------------------------------------------------------------
72
    DUT: SN74LS155N
73
    port map(
74
    X_1  => B(0),  -- EA
75
    X_2  => B(1),  -- EA\
76
    X_3  => B(5),  -- A1
77
    X_4  => E(3),  -- O3A\
78
    X_5  => E(2),  -- O2A\
79
    X_6  => E(1),  -- O1A\
80
    X_7  => E(0),  -- O0A\
81
    X_8  => open,  -- GND
82
    X_9  => E(4),  -- O0B\
83
    X_10 => E(5),  -- O1B\
84
    X_11 => E(6),  -- O2B\
85
    X_12 => E(7),  -- O3B\
86
    X_13 => B(4),  -- A0
87
    X_14 => B(3),  -- EB2\
88
    X_15 => B(2),  -- EB1\
89
    X_16 => open   -- Vcc
90
);
91
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.