OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_164.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS164N: SIPO shift register                     --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_164 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           := 100 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_164 is
27
    signal RS, RSH : std_logic;
28
    signal CLK     : std_logic;
29
    signal JC, BC  : unsigned(7 downto 0);           -- Test stimuli
30
    signal D,  E   : std_logic_vector(7 downto 0);   -- Expected & actual results
31
 
32
    alias CP : std_logic is CLK;
33
    alias A  : std_logic is JC(1);
34
    alias B  : std_logic is JC(2);
35
    alias MR : std_logic is RS;
36
 
37
    begin
38
    RS <= RSH;
39
 
40
    -----------------------------------------------------------------------
41
    -- Standard testbench components
42
    -----------------------------------------------------------------------
43
    TB: TTLBench
44
    generic map(
45
        StimClk  => StimClk,
46
        CheckClk => CheckClk,
47
        Period   => Period,
48
        Finish   => Finish,
49
        SevLevel => SevLevel
50
    )
51
    port map(
52
        J    => JC,
53
        B    => BC,
54
        CLK  => CLK,
55
        RS   => RSH,
56
        D    => D,
57
        E    => E
58
    );
59
 
60
    -----------------------------------------------------------------------
61
    -- Generate expected results (with zero delays)
62
    -----------------------------------------------------------------------
63
    process(CP, MR) is
64
        variable N : std_logic_vector(7 downto 0);
65
    begin
66
        if MR = '0' then
67
            N := (others => '0');
68
        elsif rising_edge(CP) then
69
            N := N(6 downto 0) & (A and B);
70
        end if;
71
        D <= N;
72
    end process;
73
 
74
    -----------------------------------------------------------------------
75
    -- Device Under Test...                        
76
    -----------------------------------------------------------------------
77
    DUT: SN74LS164N
78
    port map(
79
    X_1  => A,     -- A
80
    X_2  => B,     -- B
81
    X_3  => E(0),  -- Q0
82
    X_4  => E(1),  -- Q1
83
    X_5  => E(2),  -- Q2
84
    X_6  => E(3),  -- Q3
85
    X_7  => open,  -- GND
86
    X_8  => CP,    -- CP
87
    X_9  => MR,    -- MR\
88
    X_10 => E(4),  -- Q4
89
    X_11 => E(5),  -- Q5
90
    X_12 => E(6),  -- Q6
91
    X_13 => E(7),  -- Q7
92
    X_14 => open   -- Vcc
93
    );
94
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.