OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_64.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74S64N: 4-2-3-2 input AND-OR-Invert gate          --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_64 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '0';
20
    Period   : time           := 50 ns;
21
    Finish   : time           := 20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_64 is
27
    signal J, B : unsigned(10 downto 0);        -- Test stimuli
28
    signal D, E : std_logic_vector(0 downto 0); -- Expected & actual results
29
 
30
    begin
31
    -----------------------------------------------------------------------
32
    -- Standard testbench components
33
    -----------------------------------------------------------------------
34
    TB: TTLBench
35
    generic map(
36
        StimClk  => StimClk,
37
        CheckClk => CheckClk,
38
        Period   => Period,
39
        Finish   => Finish,
40
        SevLevel => SevLevel
41
    )
42
    port map(
43
        J   => J,
44
        B   => B,
45
        CLK => open,
46
        RS  => open,
47
        D   => D,
48
        E   => E
49
    );
50
 
51
    -----------------------------------------------------------------------
52
    -- Generate expected results (with zero delays)
53
    -----------------------------------------------------------------------
54
    D(0) <= not( (J(0) and J(1) and J(2) and J(3)) or
55
                 (J(4) and J(5)) or
56
                 (J(6) and J(7) and J(8)) or
57
                 (J(9) and J(10)) );
58
 
59
    -----------------------------------------------------------------------
60
    -- Device Under Test...                        
61
    -----------------------------------------------------------------------
62
    DUT: SN74S64N
63
    port map(
64
    X_1  => J(0),  -- 1D1
65
    X_2  => J(4),  -- 1A1
66
    X_3  => J(5),  -- 1A2
67
    X_4  => J(6),  -- 1B1
68
    X_5  => J(7),  -- 1B2
69
    X_6  => J(8),  -- 1B3
70
    X_7  => open,  -- GND
71
    X_8  => E(0),  -- 1Y\
72
    X_9  => J(9),  -- 1C2
73
    X_10 => J(10), -- 1C1
74
    X_11 => J(1),  -- 1D4
75
    X_12 => J(2),  -- 1D3
76
    X_13 => J(3),  -- 1D2
77
    X_14 => open   -- Vcc
78
);
79
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.