OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_78.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS78N: Dual JK flipflop (Pinout A)              --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_78 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           := 120 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_78 is
27
    signal RS     : std_logic;
28
    signal CLK    : std_logic;
29
    signal JC, BC : unsigned(3 downto 0);           -- Test stimuli
30
    signal D,  E  : std_logic_vector(3 downto 0);   -- Expected & actual results
31
 
32
    begin
33
    -----------------------------------------------------------------------
34
    -- Standard testbench components
35
    -----------------------------------------------------------------------
36
    TB: TTLBench
37
    generic map(
38
        StimClk  => StimClk,
39
        CheckClk => CheckClk,
40
        Period   => Period,
41
        Finish   => Finish,
42
        SevLevel => SevLevel
43
    )
44
    port map(
45
        J    => JC,
46
        B    => BC,
47
        CLK  => CLK,
48
        RS   => RS,
49
        D    => D,
50
        E    => E
51
    );
52
 
53
    -----------------------------------------------------------------------
54
    -- Generate expected results (with zero delays)
55
    -----------------------------------------------------------------------
56
 
57
    G: for i in 1 downto 0 generate
58
    begin
59
        SIM: process(CLK, RS) is
60
            variable JK : std_logic_vector(1 downto 0);
61
        begin
62
            if    RS = '0' then
63
                D(i) <= '0';
64
            elsif falling_edge(CLK) then
65
                JK := BC(i) & BC(2+i);
66
                case JK is
67
                    when "00"   => null;
68
                    when "01"   => D(i) <= '0';
69
                    when "10"   => D(i) <= '1';
70
                    when "11"   => D(i) <= not D(i);
71
                    when others => null;
72
                end case;
73
            end if;
74
        end process;
75
        D(i+2) <= not D(i);
76
    end generate;
77
 
78
    -----------------------------------------------------------------------
79
    -- Device Under Test...                        
80
    -----------------------------------------------------------------------
81
    DUT: SN74LS78N
82
    port map(
83
    X_1  => BC(2), -- K1
84
    X_2  => E(0),  -- Q1
85
    X_3  => E(2),  -- Q1\
86
    X_4  => BC(0), -- J1
87
    X_5  => E(3),  -- Q2\
88
    X_6  => E(1),  -- Q2
89
    X_7  => open,  -- GND
90
    X_8  => BC(3), -- K2
91
    X_9  => CLK,   -- CP\
92
    X_10 => '1',   -- SD2\
93
    X_11 => BC(1), -- J2
94
    X_12 => RS,    -- CD\
95
    X_13 => '1',   -- SD1\
96
    X_14 => open   -- Vcc
97
);
98
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.