OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_80.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN7480N: Gated full adder (Pinout A)                --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_80 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           := 100 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_80 is
27
    signal JC, BC : unsigned(6 downto 0);         -- Test stimuli
28
    signal D,  E  : std_logic_vector(2 downto 0); -- Expected & actual results
29
 
30
    begin
31
    -----------------------------------------------------------------------
32
    -- Standard testbench components
33
    -----------------------------------------------------------------------
34
    TB: TTLBench
35
    generic map(
36
        StimClk  => StimClk,
37
        CheckClk => CheckClk,
38
        Period   => Period,
39
        Finish   => Finish,
40
        SevLevel => SevLevel
41
    )
42
    port map(
43
        J    => JC,
44
        B    => BC,
45
        CLK  => open,
46
        RS   => open,
47
        D    => D,
48
        E    => E
49
    );
50
 
51
    -----------------------------------------------------------------------
52
    -- Generate expected results (with zero delays)
53
    -----------------------------------------------------------------------
54
    process(BC) is
55
        variable AX, BX, A, B : std_logic;
56
        variable IP           : std_logic_vector(2 downto 0);
57
    begin
58
        AX := not (BC(3) and BC(4));
59
        BX := not (BC(5) and BC(6));
60
        A  := not (AX    and BC(1));
61
        B  := not (BX    and BC(2));
62
        IP := (BC(0), B, A);
63
        case IP is
64
            when "000"  => D(2) <= '1'; D(1) <= '1'; D(0) <= '0';
65
            when "001"  => D(2) <= '1'; D(1) <= '0'; D(0) <= '1';
66
            when "010"  => D(2) <= '1'; D(1) <= '0'; D(0) <= '1';
67
            when "011"  => D(2) <= '0'; D(1) <= '1'; D(0) <= '0';
68
            when "100"  => D(2) <= '0'; D(1) <= '0'; D(0) <= '1';
69
            when "101"  => D(2) <= '1'; D(1) <= '1'; D(0) <= '0';
70
            when "110"  => D(2) <= '1'; D(1) <= '1'; D(0) <= '0';
71
            when "111"  => D(2) <= '0'; D(1) <= '0'; D(0) <= '1';
72
            when others => D <= (others => 'X');
73
        end case;
74
    end process;
75
 
76
    -----------------------------------------------------------------------
77
    -- Device Under Test...                        
78
    -----------------------------------------------------------------------
79
    DUT: SN7480N
80
    port map(
81
                    -- BX
82
    X_2  => BC(2),  -- BC
83
    X_3  => BC(0),  -- CN
84
    X_4  => E(2),   -- CNP1\
85
    X_5  => E(0),   -- S
86
    X_6  => E(1),   -- S\
87
    X_7  => open,   -- GND
88
    X_8  => BC(3),  -- A1
89
    X_9  => BC(4),  -- A2
90
                    -- AX
91
    X_11 => BC(1),  -- AC
92
    X_12 => BC(5),  -- B1
93
    X_13 => BC(6),  -- B2
94
    X_14 => open    -- Vcc
95
);
96
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.