OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_82.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN7482N: 2-bit full adder                           --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_82 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           := 100 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_82 is
27
    signal JC, BC : unsigned(4 downto 0);         -- Test stimuli
28
    signal D,  E  : std_logic_vector(2 downto 0); -- Expected & actual results
29
 
30
    begin
31
    -----------------------------------------------------------------------
32
    -- Standard testbench components
33
    -----------------------------------------------------------------------
34
    TB: TTLBench
35
    generic map(
36
        StimClk  => StimClk,
37
        CheckClk => CheckClk,
38
        Period   => Period,
39
        Finish   => Finish,
40
        SevLevel => SevLevel
41
    )
42
    port map(
43
        J    => JC,
44
        B    => BC,
45
        CLK  => open,
46
        RS   => open,
47
        D    => D,
48
        E    => E
49
    );
50
 
51
    -----------------------------------------------------------------------
52
    -- Generate expected results (with zero delays)
53
    -----------------------------------------------------------------------
54
    process(BC) is
55
        variable A, B, C : unsigned(2 downto 0);
56
    begin
57
        A := ('0', BC(1), BC(3));
58
        B := ('0', BC(0), BC(2));
59
        C := A + B + BC(4);
60
 
61
        (D(2), D(0), D(1)) <= C;
62
    end process;
63
 
64
    -----------------------------------------------------------------------
65
    -- Device Under Test...                        
66
    -----------------------------------------------------------------------
67
    DUT: SN7482N
68
    port map(
69
    X_1  => E(1),  -- S1
70
    X_2  => BC(3), -- A1
71
    X_3  => BC(2), -- B1
72
    X_4  => open,  -- Vcc
73
    X_5  => BC(4), -- CIN
74
                   -- 
75
                   -- 
76
                   -- 
77
                   -- 
78
    X_10 => E(2),  -- C2
79
    X_11 => open,  -- GND
80
    X_12 => E(0),  -- S2
81
    X_13 => BC(0), -- B2
82
    X_14 => BC(1)  -- A2
83
);
84
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.