OpenCores
URL https://opencores.org/ocsvn/ttl_library/ttl_library/trunk

Subversion Repositories ttl_library

[/] [ttl_library/] [trunk/] [Testbench/] [Testbench_91.vhd] - Blame information for rev 6

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 david237
-----------------------------------------------------------------------
2
-- Bipolar TTL models (VHDL)                                         --
3
-- David R Brooks                                                    --
4
-- June, 2016.  Perth, Australia                                     --
5
-- Compliance: VHDL 2008                                             --
6
-- Testbench for SN74LS91AN: 8-bit shift register (Pinout A)         --
7
-----------------------------------------------------------------------
8
 
9
library ieee;
10
    use ieee.std_logic_1164.all;
11
    use ieee.std_logic_misc.all;
12
    use ieee.numeric_std.all;
13
    use work.LSTTL.all;
14
    use work.TTLPrivate.all;
15
 
16
entity Testbench_91 is     -- Top-level bench
17
generic(
18
    StimClk  : std_logic      := '1';
19
    CheckClk : std_logic      := '1';
20
    Period   : time           := 120 ns;
21
    Finish   : time           :=  20 us;
22
    SevLevel : severity_level := failure
23
);
24
end entity;
25
 
26
architecture Test of Testbench_91 is
27
    signal RS     : std_logic;
28
    signal CLK    : std_logic;
29
    signal JC, BC : unsigned(2 downto 0);           -- Test stimuli
30
    signal D,  E  : std_logic_vector(1 downto 0);   -- Expected & actual results
31
 
32
    begin
33
    -----------------------------------------------------------------------
34
    -- Standard testbench components
35
    -----------------------------------------------------------------------
36
    TB: TTLBench
37
    generic map(
38
        StimClk  => StimClk,
39
        CheckClk => CheckClk,
40
        Period   => Period,
41
        Finish   => Finish,
42
        SevLevel => SevLevel
43
    )
44
    port map(
45
        J    => JC,
46
        B    => BC,
47
        CLK  => CLK,
48
        RS   => RS,
49
        D    => D,
50
        E    => E
51
    );
52
 
53
    -----------------------------------------------------------------------
54
    -- Generate expected results (with zero delays)
55
    -----------------------------------------------------------------------
56
    process(CLK) is
57
        variable SR : std_logic_vector(7 downto 0) := (others => 'U');
58
    begin
59
        if rising_edge(CLK) then
60
            SR := SR(6 downto 0) & nand_reduce(std_logic_vector(JC(1 downto 0)));
61
            D(0) <= SR(7);
62
            D(1) <= not SR(7);
63
        end if;
64
    end process;
65
 
66
    -----------------------------------------------------------------------
67
    -- Device Under Test...                        
68
    -----------------------------------------------------------------------
69
    DUT: SN74LS91AN
70
    port map(
71
                   -- 
72
                   -- 
73
                   -- 
74
                   -- 
75
    X_5  => open,  -- Vcc
76
                   -- 
77
                   -- 
78
                   -- 
79
    X_9  => CLK,   -- CP
80
    X_10 => open,  -- GND
81
    X_11 => JC(1), -- B
82
    X_12 => JC(0), -- A
83
    X_13 => E(0),  -- Q
84
    X_14 => E(1)   -- Q\
85
    );
86
end architecture Test;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.