OpenCores
URL https://opencores.org/ocsvn/turbo8051/turbo8051/trunk

Subversion Repositories turbo8051

[/] [turbo8051/] [trunk/] [rtl/] [spi/] [spi_cfg.v] - Blame information for rev 76

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 dinesha
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Tubo 8051 cores SPI Interface Module                        ////
4
////                                                              ////
5
////  This file is part of the Turbo 8051 cores project           ////
6
////  http://www.opencores.org/cores/turbo8051/                   ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Turbo 8051 definitions.                                     ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////    nothing                                                   ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Dinesh Annayya, dinesha@opencores.org                 ////
16
////                                                              ////
17 76 dinesha
////  Revision : Mar 2, 2011                                      //// 
18
////                                                              ////
19 7 dinesha
//////////////////////////////////////////////////////////////////////
20
////                                                              ////
21
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
22
////                                                              ////
23
//// This source file may be used and distributed without         ////
24
//// restriction provided that this copyright statement is not    ////
25
//// removed from the file and that any derivative work contains  ////
26
//// the original copyright notice and the associated disclaimer. ////
27
////                                                              ////
28
//// This source file is free software; you can redistribute it   ////
29
//// and/or modify it under the terms of the GNU Lesser General   ////
30
//// Public License as published by the Free Software Foundation; ////
31
//// either version 2.1 of the License, or (at your option) any   ////
32
//// later version.                                               ////
33
////                                                              ////
34
//// This source is distributed in the hope that it will be       ////
35
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
36
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
37
//// PURPOSE.  See the GNU Lesser General Public License for more ////
38
//// details.                                                     ////
39
////                                                              ////
40
//// You should have received a copy of the GNU Lesser General    ////
41
//// Public License along with this source; if not, download it   ////
42
//// from http://www.opencores.org/lgpl.shtml                     ////
43
////                                                              ////
44
//////////////////////////////////////////////////////////////////////
45
 
46
 
47
 
48
module spi_cfg (
49
 
50
             mclk,
51
             reset_n,
52
 
53
        // Reg Bus Interface Signal
54
             reg_cs,
55
             reg_wr,
56
             reg_addr,
57
             reg_wdata,
58
             reg_be,
59
 
60
            // Outputs
61
            reg_rdata,
62
            reg_ack,
63
 
64
 
65
           // configuration signal
66
           cfg_tgt_sel        ,
67
           cfg_op_req         , // SPI operation request
68
           cfg_op_type        , // SPI operation type
69
           cfg_transfer_size  , // SPI transfer size
70
           cfg_sck_period     , // sck clock period
71
           cfg_sck_cs_period  , // cs setup/hold period
72
           cfg_cs_byte        , // cs bit information
73
           cfg_datain         , // data for transfer
74
           cfg_dataout        , // data for received
75
           hware_op_done      // operation done
76
 
77
        );
78
 
79
 
80
 
81
input         mclk;
82
input         reset_n;
83
 
84
output [1:0]  cfg_tgt_sel        ;
85
 
86
output        cfg_op_req         ; // SPI operation request
87
output [1:0]  cfg_op_type        ; // SPI operation type
88
output [1:0]  cfg_transfer_size  ; // SPI transfer size
89
output [5:0]  cfg_sck_period     ; // sck clock period
90
output [4:0]  cfg_sck_cs_period  ; // cs setup/hold period
91
output [7:0]  cfg_cs_byte        ; // cs bit information
92
output [31:0] cfg_datain         ; // data for transfer
93
input  [31:0] cfg_dataout        ; // data for received
94
input         hware_op_done      ; // operation done
95
 
96
//---------------------------------
97
// Reg Bus Interface Signal
98
//---------------------------------
99
input             reg_cs         ;
100
input             reg_wr         ;
101
input [3:0]       reg_addr       ;
102
input [31:0]      reg_wdata      ;
103
input [3:0]       reg_be         ;
104
 
105
// Outputs
106
output [31:0]     reg_rdata      ;
107
output            reg_ack        ;
108
 
109
 
110
 
111
//-----------------------------------------------------------------------
112
// Internal Wire Declarations
113
//-----------------------------------------------------------------------
114
 
115
wire           sw_rd_en;
116
wire           sw_wr_en;
117
wire  [3:0]    sw_addr ; // addressing 16 registers
118
wire  [3:0]    wr_be   ;
119
 
120
reg   [31:0]  reg_rdata      ;
121
reg           reg_ack     ;
122
 
123
wire [31:0]    reg_0;  // Software_Reg_0
124
wire [31:0]    reg_1;  // Software-Reg_1
125
wire [31:0]    reg_2;  // Software-Reg_2
126
wire [31:0]    reg_3;  // Software-Reg_3
127
wire [31:0]    reg_4;  // Software-Reg_4
128
wire [31:0]    reg_5;  // Software-Reg_5
129
wire [31:0]    reg_6;  // Software-Reg_6
130
wire [31:0]    reg_7;  // Software-Reg_7
131
wire [31:0]    reg_8;  // Software-Reg_8
132
wire [31:0]    reg_9;  // Software-Reg_9
133
wire [31:0]    reg_10; // Software-Reg_10
134
wire [31:0]    reg_11; // Software-Reg_11
135
wire [31:0]    reg_12; // Software-Reg_12
136
wire [31:0]    reg_13; // Software-Reg_13
137
wire [31:0]    reg_14; // Software-Reg_14
138
wire [31:0]    reg_15; // Software-Reg_15
139
reg  [31:0]    reg_out;
140
 
141
//-----------------------------------------------------------------------
142
// Main code starts here
143
//-----------------------------------------------------------------------
144
 
145
//-----------------------------------------------------------------------
146
// Internal Logic Starts here
147
//-----------------------------------------------------------------------
148
    assign sw_addr       = reg_addr [3:0];
149
    assign sw_rd_en      = reg_cs & !reg_wr;
150
    assign sw_wr_en      = reg_cs & reg_wr;
151
    assign wr_be         = reg_be;
152
 
153
 
154
//-----------------------------------------------------------------------
155
// Read path mux
156
//-----------------------------------------------------------------------
157
 
158
always @ (posedge mclk or negedge reset_n)
159
begin : preg_out_Seq
160
   if (reset_n == 1'b0)
161
   begin
162
      reg_rdata [31:0]  <= 32'h0000_0000;
163
      reg_ack           <= 1'b0;
164
   end
165
   else if (sw_rd_en && !reg_ack)
166
   begin
167
      reg_rdata [31:0]  <= reg_out [31:0];
168
      reg_ack           <= 1'b1;
169
   end
170
   else if (sw_wr_en && !reg_ack)
171
      reg_ack           <= 1'b1;
172
   else
173
   begin
174
      reg_ack        <= 1'b0;
175
   end
176
end
177
 
178
 
179
//-----------------------------------------------------------------------
180
// register read enable and write enable decoding logic
181
//-----------------------------------------------------------------------
182
wire   sw_wr_en_0 = sw_wr_en & (sw_addr == 4'h0);
183
wire   sw_rd_en_0 = sw_rd_en & (sw_addr == 4'h0);
184
wire   sw_wr_en_1 = sw_wr_en & (sw_addr == 4'h1);
185
wire   sw_rd_en_1 = sw_rd_en & (sw_addr == 4'h1);
186
wire   sw_wr_en_2 = sw_wr_en & (sw_addr == 4'h2);
187
wire   sw_rd_en_2 = sw_rd_en & (sw_addr == 4'h2);
188
wire   sw_wr_en_3 = sw_wr_en & (sw_addr == 4'h3);
189
wire   sw_rd_en_3 = sw_rd_en & (sw_addr == 4'h3);
190
wire   sw_wr_en_4 = sw_wr_en & (sw_addr == 4'h4);
191
wire   sw_rd_en_4 = sw_rd_en & (sw_addr == 4'h4);
192
wire   sw_wr_en_5 = sw_wr_en & (sw_addr == 4'h5);
193
wire   sw_rd_en_5 = sw_rd_en & (sw_addr == 4'h5);
194
wire   sw_wr_en_6 = sw_wr_en & (sw_addr == 4'h6);
195
wire   sw_rd_en_6 = sw_rd_en & (sw_addr == 4'h6);
196
wire   sw_wr_en_7 = sw_wr_en & (sw_addr == 4'h7);
197
wire   sw_rd_en_7 = sw_rd_en & (sw_addr == 4'h7);
198
wire   sw_wr_en_8 = sw_wr_en & (sw_addr == 4'h8);
199
wire   sw_rd_en_8 = sw_rd_en & (sw_addr == 4'h8);
200
wire   sw_wr_en_9 = sw_wr_en & (sw_addr == 4'h9);
201
wire   sw_rd_en_9 = sw_rd_en & (sw_addr == 4'h9);
202
wire   sw_wr_en_10 = sw_wr_en & (sw_addr == 4'hA);
203
wire   sw_rd_en_10 = sw_rd_en & (sw_addr == 4'hA);
204
wire   sw_wr_en_11 = sw_wr_en & (sw_addr == 4'hB);
205
wire   sw_rd_en_11 = sw_rd_en & (sw_addr == 4'hB);
206
wire   sw_wr_en_12 = sw_wr_en & (sw_addr == 4'hC);
207
wire   sw_rd_en_12 = sw_rd_en & (sw_addr == 4'hC);
208
wire   sw_wr_en_13 = sw_wr_en & (sw_addr == 4'hD);
209
wire   sw_rd_en_13 = sw_rd_en & (sw_addr == 4'hD);
210
wire   sw_wr_en_14 = sw_wr_en & (sw_addr == 4'hE);
211
wire   sw_rd_en_14 = sw_rd_en & (sw_addr == 4'hE);
212
wire   sw_wr_en_15 = sw_wr_en & (sw_addr == 4'hF);
213
wire   sw_rd_en_15 = sw_rd_en & (sw_addr == 4'hF);
214
 
215
 
216
always @( *)
217
begin : preg_sel_Com
218
 
219
  reg_out [31:0] = 32'd0;
220
 
221
  case (sw_addr [3:0])
222
    4'b0000 : reg_out [31:0] = reg_0 [31:0];
223
    4'b0001 : reg_out [31:0] = reg_1 [31:0];
224
    4'b0010 : reg_out [31:0] = reg_2 [31:0];
225
    4'b0011 : reg_out [31:0] = reg_3 [31:0];
226
    4'b0100 : reg_out [31:0] = reg_4 [31:0];
227
    4'b0101 : reg_out [31:0] = reg_5 [31:0];
228
    4'b0110 : reg_out [31:0] = reg_6 [31:0];
229
    4'b0111 : reg_out [31:0] = reg_7 [31:0];
230
    4'b1000 : reg_out [31:0] = reg_8 [31:0];
231
    4'b1001 : reg_out [31:0] = reg_9 [31:0];
232
    4'b1010 : reg_out [31:0] = reg_10 [31:0];
233
    4'b1011 : reg_out [31:0] = reg_11 [31:0];
234
    4'b1100 : reg_out [31:0] = reg_12 [31:0];
235
    4'b1101 : reg_out [31:0] = reg_13 [31:0];
236
    4'b1110 : reg_out [31:0] = reg_14 [31:0];
237
    4'b1111 : reg_out [31:0] = reg_15 [31:0];
238
  endcase
239
end
240
 
241
 
242
 
243
//-----------------------------------------------------------------------
244
// Individual register assignments
245
//-----------------------------------------------------------------------
246
// Logic for Register 0 : SPI Control Register
247
//-----------------------------------------------------------------------
248
wire         cfg_op_req         = reg_0[31];    // cpu request
249
wire [1:0]   cfg_tgt_sel        = reg_0[24:23]; // target chip select
250
wire [1:0]   cfg_op_type        = reg_0[22:21]; // SPI operation type
251
wire [1:0]   cfg_transfer_size  = reg_0[20:19]; // SPI transfer size
252
wire [5:0]   cfg_sck_period     = reg_0[18:13]; // sck clock period
253
wire [4:0]   cfg_sck_cs_period  = reg_0[12:8];  // cs setup/hold period
254
wire [7:0]   cfg_cs_byte        = reg_0[7:0];   // cs bit information
255
 
256
generic_register #(8,0  ) u_spi_ctrl_be0 (
257
              .we            ({8{sw_wr_en_0 &
258
                                 wr_be[0]   }}  ),
259
              .data_in       (reg_wdata[7:0]    ),
260
              .reset_n       (reset_n           ),
261
              .clk           (mclk              ),
262
 
263
              //List of Outs
264
              .data_out      (reg_0[7:0]        )
265
          );
266
 
267
generic_register #(8,0  ) u_spi_ctrl_be1 (
268
              .we            ({8{sw_wr_en_0 &
269
                                wr_be[1]   }}  ),
270
              .data_in       (reg_wdata[15:8]  ),
271
              .reset_n       (reset_n           ),
272
              .clk           (mclk              ),
273
 
274
              //List of Outs
275
              .data_out      (reg_0[15:8]       )
276
          );
277
 
278
generic_register #(8,0  ) u_spi_ctrl_be2 (
279
              .we            ({8{sw_wr_en_0 &
280
                                wr_be[2]   }}  ),
281
              .data_in       (reg_wdata[23:16] ),
282
              .reset_n       (reset_n           ),
283
              .clk           (mclk              ),
284
 
285
              //List of Outs
286
              .data_out      (reg_0[23:16]       )
287
          );
288
 
289
assign reg_0[30:24] = 7'h0;
290
 
291
req_register #(0  ) u_spi_ctrl_req (
292
              .cpu_we       ({sw_wr_en_0 &
293
                             wr_be[3]   }       ),
294
              .cpu_req      (reg_wdata[31]      ),
295
              .hware_ack    (hware_op_done      ),
296
              .reset_n       (reset_n           ),
297
              .clk           (mclk              ),
298
 
299
              //List of Outs
300
              .data_out      (reg_0[31]         )
301
          );
302
 
303
 
304
 
305
 
306
//-----------------------------------------------------------------------
307
// Logic for Register 1 : SPI Data In Register
308
//-----------------------------------------------------------------------
309
wire [31:0]   cfg_datain        = reg_1[31:0];
310
 
311
generic_register #(8,0  ) u_spi_din_be0 (
312
              .we            ({8{sw_wr_en_1 &
313
                                wr_be[0]   }}  ),
314
              .data_in       (reg_wdata[7:0]    ),
315
              .reset_n       (reset_n           ),
316
              .clk           (mclk              ),
317
 
318
              //List of Outs
319
              .data_out      (reg_1[7:0]        )
320
          );
321
 
322
generic_register #(8,0  ) u_spi_din_be1 (
323
              .we            ({8{sw_wr_en_1 &
324
                                wr_be[1]   }}  ),
325
              .data_in       (reg_wdata[15:8]   ),
326
              .reset_n       (reset_n           ),
327
              .clk           (mclk              ),
328
 
329
              //List of Outs
330
              .data_out      (reg_1[15:8]       )
331
          );
332
 
333
generic_register #(8,0  ) u_spi_din_be2 (
334
              .we            ({8{sw_wr_en_1 &
335
                                wr_be[2]   }}  ),
336
              .data_in       (reg_wdata[23:16]  ),
337
              .reset_n       (reset_n           ),
338
              .clk           (mclk              ),
339
 
340
              //List of Outs
341
              .data_out      (reg_1[23:16]      )
342
          );
343
 
344
 
345
generic_register #(8,0  ) u_spi_din_be3 (
346
              .we            ({8{sw_wr_en_1 &
347
                                wr_be[3]   }}  ),
348
              .data_in       (reg_wdata[31:24]  ),
349
              .reset_n       (reset_n           ),
350
              .clk           (mclk              ),
351
 
352
              //List of Outs
353
              .data_out      (reg_1[31:24]      )
354
          );
355
 
356
 
357
//-----------------------------------------------------------------------
358
// Logic for Register 2 : SPI Data output Register
359
//-----------------------------------------------------------------------
360
assign  reg_2 = cfg_dataout;
361
 
362
 
363
 
364
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.