OpenCores
URL https://opencores.org/ocsvn/turbocodes/turbocodes/trunk

Subversion Repositories turbocodes

[/] [turbocodes/] [trunk/] [doc/] [README.txt] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 dbrochart
######################################################################
2
####                                                              ####
3
####  README.txt                                                  ####
4
####                                                              ####
5
####  This file is part of the turbo decoder IP core project      ####
6
####  http://www.opencores.org/projects/turbocodes/               ####
7
####                                                              ####
8
####  Author(s):                                                  ####
9
####      - David Brochart(dbrochart@opencores.org)               ####
10
####                                                              ####
11
######################################################################
12
####                                                              ####
13
#### Copyright (C) 2005 Authors                                   ####
14
####                                                              ####
15
#### This source file may be used and distributed without         ####
16
#### restriction provided that this copyright statement is not    ####
17
#### removed from the file and that any derivative work contains  ####
18
#### the original copyright notice and the associated disclaimer. ####
19
####                                                              ####
20
#### This source file is free software; you can redistribute it   ####
21
#### and/or modify it under the terms of the GNU Lesser General   ####
22
#### Public License as published by the Free Software Foundation; ####
23
#### either version 2.1 of the License, or (at your option) any   ####
24
#### later version.                                               ####
25
####                                                              ####
26
#### This source is distributed in the hope that it will be       ####
27
#### useful, but WITHOUT ANY WARRANTY; without even the implied   ####
28
#### warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ####
29
#### PURPOSE. See the GNU Lesser General Public License for more  ####
30
#### details.                                                     ####
31
####                                                              ####
32
#### You should have received a copy of the GNU Lesser General    ####
33
#### Public License along with this source; if not, download it   ####
34
#### from http://www.opencores.org/lgpl.shtml                     ####
35
####                                                              ####
36
######################################################################
37
 
38
 
39
 
40
Turbo Decoder Release 0.3
41
=========================
42
 
43
MAIN FEATURES
44
-------------
45
 
46
* Double binary, DVB-RCS code
47
* Soft Output Viterbi Algorithm
48
* MyHDL cycle/bit accurate model
49
* Synthesizable VHDL model
50
 
51
MyHDL MODEL
52
-----------
53
For help                : python launchTurbo.py -help
54
For default execution   : python launchTurbo.py
55
It writes the Bit Error Rate for each iteration into a file:
56
    turbo0.txt <- BER before decoding
57
    turbo1.txt <- BER for iteration #1
58
    turbo2.txt <- BER for iteration #2
59
    turbo3.txt <- BER for iteration #3
60
 
61
VHDL MODEL
62
----------
63
The top-level entity is "turboDec".
64
All the turbo decoder parameters are stored in the "turbopack.vhd" file.
65
You can modify:
66
    - the code rate (RATE)
67
    - the number of decoding iterations (IT)
68
    - the interleaver frame size (FRSIZE)
69
    - the trellis' length (TREL1_LEN and TREL2_LEN)
70
    - the received decoder signal width (SIG_WIDTH)
71
    - the extrinsic information signal width (Z_WIDTH)
72
    - the accumulated distance signal width (ACC_DIST_WIDTH)
73
 
74
AUTHOR
75
------
76
David Brochart 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.