OpenCores
URL https://opencores.org/ocsvn/turbocodes/turbocodes/trunk

Subversion Repositories turbocodes

[/] [turbocodes/] [trunk/] [src/] [vhdl/] [sova_synth.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 dbrochart
----------------------------------------------------------------------
2
----                                                              ----
3
----  sova_synth.vhd                                              ----
4
----                                                              ----
5
----  This file is part of the turbo decoder IP core project      ----
6
----  http://www.opencores.org/projects/turbocodes/               ----
7
----                                                              ----
8
----  Author(s):                                                  ----
9
----      - David Brochart(dbrochart@opencores.org)               ----
10
----                                                              ----
11
----  All additional information is available in the README.txt   ----
12
----  file.                                                       ----
13
----                                                              ----
14
----------------------------------------------------------------------
15
----                                                              ----
16
---- Copyright (C) 2005 Authors                                   ----
17
----                                                              ----
18
---- This source file may be used and distributed without         ----
19
---- restriction provided that this copyright statement is not    ----
20
---- removed from the file and that any derivative work contains  ----
21
---- the original copyright notice and the associated disclaimer. ----
22
----                                                              ----
23
---- This source file is free software; you can redistribute it   ----
24
---- and/or modify it under the terms of the GNU Lesser General   ----
25
---- Public License as published by the Free Software Foundation; ----
26
---- either version 2.1 of the License, or (at your option) any   ----
27
---- later version.                                               ----
28
----                                                              ----
29
---- This source is distributed in the hope that it will be       ----
30
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
31
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
32
---- PURPOSE. See the GNU Lesser General Public License for more  ----
33
---- details.                                                     ----
34
----                                                              ----
35
---- You should have received a copy of the GNU Lesser General    ----
36
---- Public License along with this source; if not, download it   ----
37
---- from http://www.opencores.org/lgpl.shtml                     ----
38
----                                                              ----
39
----------------------------------------------------------------------
40
 
41
 
42
 
43
architecture synth of sova is
44
    signal selStateL2   : std_logic_vector(2 downto 0);
45
    signal selStateL1   : std_logic_vector(2 downto 0);
46
    signal selState     : std_logic_vector(2 downto 0);
47
    signal selTransL2   : std_logic_vector(1 downto 0);
48
    signal selTrans     : ARRAY8b;
49
    signal selTransL1   : ARRAY8b;
50
    signal weight       : ARRAY4a;
51
    signal stateL1      : ARRAY4d;
52
    signal llr0         : std_logic_vector(ACC_DIST_WIDTH - 1 downto 0);
53
    signal llr1         : std_logic_vector(ACC_DIST_WIDTH - 1 downto 0);
54
    signal llr2         : std_logic_vector(ACC_DIST_WIDTH - 1 downto 0);
55
    signal llr3         : std_logic_vector(ACC_DIST_WIDTH - 1 downto 0);
56
    signal zinDel       : ARRAY4c;
57
    signal aNoisyDel    : std_logic_vector(SIG_WIDTH - 1 downto 0);
58
    signal bNoisyDel    : std_logic_vector(SIG_WIDTH - 1 downto 0);
59
begin
60
    acs_i0 : acs    port map    (
61
                                clk         => clk,
62
                                rst         => rst,
63
                                a           => aNoisy,
64
                                b           => bNoisy,
65
                                y           => yNoisy,
66
                                w           => wNoisy,
67
                                z           => zin,
68
                                selStateL   => selStateL2,
69
                                selTransL   => selTransL2,
70
                                selState    => selState,
71
                                stateDist   => selTrans,
72
                                weight      => weight
73
                                );
74
    trellis1_i0 : trellis1  port map    (
75
                                        clk         => clk,
76
                                        rst         => rst,
77
                                        selState    => selState,
78
                                        selTrans    => selTrans,
79
                                        selStateL2  => selStateL2,
80
                                        selStateL1  => selStateL1,
81
                                        stateL1     => stateL1,
82
                                        selTransL2  => selTransL2
83
                                        );
84
    trellis2_i0 : trellis2  port map    (
85
                                        clk         => clk,
86
                                        rst         => rst,
87
                                        selState    => selStateL1,
88
                                        state       => stateL1,
89
                                        selTrans    => selTransL1,
90
                                        weight      => weight,
91
                                        llr0        => llr0,
92
                                        llr1        => llr1,
93
                                        llr2        => llr2,
94
                                        llr3        => llr3,
95
                                        a           => aClean,
96
                                        b           => bClean
97
                                        );
98
    delayer_g0 : for i in 0 to 7 generate
99
        delayer_i : delayer generic map (
100
                                        delay   => TREL1_LEN - 1
101
                                        )
102
                            port map    (
103
                                        clk     => clk,
104
                                        rst     => rst,
105
                                        d       => selTrans(i),
106
                                        q       => selTransL1(i)
107
                                        );
108
    end generate;
109
    delayer_g1 : for i in 0 to 3 generate
110
        delayer_i : delayer generic map (
111
                                        delay   => TREL1_LEN + TREL2_LEN
112
                                        )
113
                            port map    (
114
                                        clk     => clk,
115
                                        rst     => rst,
116
                                        d       => zin(i),
117
                                        q       => zinDel(i)
118
                                        );
119
    end generate;
120
    delayer_i0 : delayer    generic map (
121
                                        delay   => TREL1_LEN + TREL2_LEN
122
                                        )
123
                            port map    (
124
                                        clk     => clk,
125
                                        rst     => rst,
126
                                        d       => aNoisy,
127
                                        q       => aNoisyDel
128
                                        );
129
    delayer_i1 : delayer    generic map (
130
                                        delay   => TREL1_LEN + TREL2_LEN
131
                                        )
132
                            port map    (
133
                                        clk     => clk,
134
                                        rst     => rst,
135
                                        d       => bNoisy,
136
                                        q       => bNoisyDel
137
                                        );
138
    extInf_i0 : extInf  port map    (
139
                                    llr0    => llr0,
140
                                    llr1    => llr1,
141
                                    llr2    => llr2,
142
                                    llr3    => llr3,
143
                                    zin     => zinDel,
144
                                    a       => aNoisyDel,
145
                                    b       => bNoisyDel,
146
                                    zout    => zout
147
                                    );
148
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.