OpenCores
URL https://opencores.org/ocsvn/turbocodes/turbocodes/trunk

Subversion Repositories turbocodes

[/] [turbocodes/] [trunk/] [src/] [vhdl/] [turboDec_synth.vhd] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 dbrochart
----------------------------------------------------------------------
2
----                                                              ----
3
----  turboDec_synth.vhd                                          ----
4
----                                                              ----
5
----  This file is part of the turbo decoder IP core project      ----
6
----  http://www.opencores.org/projects/turbocodes/               ----
7
----                                                              ----
8
----  Author(s):                                                  ----
9
----      - David Brochart(dbrochart@opencores.org)               ----
10
----                                                              ----
11
----  All additional information is available in the README.txt   ----
12
----  file.                                                       ----
13
----                                                              ----
14
----------------------------------------------------------------------
15
----                                                              ----
16
---- Copyright (C) 2005 Authors                                   ----
17
----                                                              ----
18
---- This source file may be used and distributed without         ----
19
---- restriction provided that this copyright statement is not    ----
20
---- removed from the file and that any derivative work contains  ----
21
---- the original copyright notice and the associated disclaimer. ----
22
----                                                              ----
23
---- This source file is free software; you can redistribute it   ----
24
---- and/or modify it under the terms of the GNU Lesser General   ----
25
---- Public License as published by the Free Software Foundation; ----
26
---- either version 2.1 of the License, or (at your option) any   ----
27
---- later version.                                               ----
28
----                                                              ----
29
---- This source is distributed in the hope that it will be       ----
30
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
31
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
32
---- PURPOSE. See the GNU Lesser General Public License for more  ----
33
---- details.                                                     ----
34
----                                                              ----
35
---- You should have received a copy of the GNU Lesser General    ----
36
---- Public License along with this source; if not, download it   ----
37
---- from http://www.opencores.org/lgpl.shtml                     ----
38
----                                                              ----
39
----------------------------------------------------------------------
40
 
41
 
42
 
43
architecture synth of turboDec is
44
    signal flipflop : std_logic;
45
    signal aLim     : ARRAY_ITa;
46
    signal bLim     : ARRAY_ITa;
47
    signal yLim     : ARRAY_ITa;
48
    signal wLim     : ARRAY_ITa;
49
    signal yIntLim  : ARRAY_ITa;
50
    signal wIntLim  : ARRAY_ITa;
51
    signal z        : ARRAY_ITb;
52
    signal yFull    : std_logic_vector(SIG_WIDTH - 1 downto 0);
53
    signal wFull    : std_logic_vector(SIG_WIDTH - 1 downto 0);
54
    signal yIntFull : std_logic_vector(SIG_WIDTH - 1 downto 0);
55
    signal wIntFull : std_logic_vector(SIG_WIDTH - 1 downto 0);
56
begin
57
    limiter_i0 : limiter    port map    (
58
                                        a       => aNoisy,
59
                                        b       => bNoisy,
60
                                        y       => yNoisy,
61
                                        w       => wNoisy,
62
                                        yInt    => yIntNoisy,
63
                                        wInt    => wIntNoisy,
64
                                        aLim    => aLim(0),
65
                                        bLim    => bLim(0),
66
                                        yLim    => yFull,
67
                                        wLim    => wFull,
68
                                        yIntLim => yIntFull,
69
                                        wIntLim => wIntFull
70
                                        );
71
 
72
    punct_i0 : punct    port map    (
73
                                    clk         => clk,
74
                                    rst         => rst,
75
                                    y           => yFull,
76
                                    w           => wFull,
77
                                    yInt        => yIntFull,
78
                                    wInt        => wIntFull,
79
                                    yPunct      => yLim(0),
80
                                    wPunct      => wLim(0),
81
                                    yIntPunct   => yIntLim(0),
82
                                    wIntPunct   => wIntLim(0)
83
                                    );
84
    clkDiv_i0 : clkDiv  port map    (
85
                                    clk     => clk,
86
                                    rst     => rst,
87
                                    clkout  => flipflop
88
                                    );
89
 
90
    z(0) <= ((others => '0'), (others => '0'), (others => '0'), (others => '0'));
91
 
92
    iteration_g : for i in 0 to (IT - 1) generate
93
        iteration_i : iteration generic map (
94
                                            delay       => 2 * i * (TREL1_LEN + TREL2_LEN + 2) + 2 * i * FRSIZE + 2
95
                                            )
96
                                port map    (
97
                                            clk         => clk,
98
                                            rst         => rst,
99
                                            flipflop    => flipflop,
100
                                            a           => aLim(i),
101
                                            b           => bLim(i),
102
                                            y           => yLim(i),
103
                                            w           => wLim(i),
104
                                            yInt        => yIntLim(i),
105
                                            wInt        => wIntLim(i),
106
                                            zin         => z(i),
107
                                            zout        => z(i + 1),
108
                                            aDec        => aDec(i),
109
                                            bDec        => bDec(i),
110
                                            aDel        => aLim(i + 1),
111
                                            bDel        => bLim(i + 1),
112
                                            yDel        => yLim(i + 1),
113
                                            wDel        => wLim(i + 1),
114
                                            yIntDel     => yIntLim(i + 1),
115
                                            wIntDel     => wIntLim(i + 1)
116
            );
117
    end generate;
118
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.