OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [env/] [tb_top.v] - Blame information for rev 84

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 31 ghutchis
`define TV80_CORE_PATH tb_top.tv80s_inst.i_tv80_core
2
 
3 2 ghutchis
module tb_top;
4
 
5
  reg         clk;
6
  reg         reset_n;
7
  reg         wait_n;
8
  reg         int_n;
9
  reg         nmi_n;
10
  reg         busrq_n;
11
  wire        m1_n;
12
  wire        mreq_n;
13
  wire        iorq_n;
14
  wire        rd_n;
15
  wire        wr_n;
16
  wire        rfsh_n;
17
  wire        halt_n;
18
  wire        busak_n;
19
  wire [15:0] A;
20
  wire [7:0]  di;
21
  wire [7:0]  do;
22
  wire        ram_rd_cs, ram_wr_cs, rom_rd_cs;
23 56 ghutchis
  reg         tx_clk;
24 2 ghutchis
 
25
  always
26
    begin
27
      clk = 1;
28
      #5;
29
      clk = 0;
30
      #5;
31
    end
32
 
33 56 ghutchis
  always
34
    begin
35
      tx_clk = 0;
36
      #8;
37
      tx_clk = 1;
38
      #8;
39
    end
40
 
41 2 ghutchis
  assign rom_rd_cs = !mreq_n & !rd_n & !A[15];
42
  assign ram_rd_cs = !mreq_n & !rd_n & A[15];
43
  assign ram_wr_cs = !mreq_n & !wr_n & A[15];
44
 
45
  tv80s tv80s_inst
46
    (
47
     // Outputs
48
     .m1_n                              (m1_n),
49
     .mreq_n                            (mreq_n),
50
     .iorq_n                            (iorq_n),
51
     .rd_n                              (rd_n),
52
     .wr_n                              (wr_n),
53
     .rfsh_n                            (rfsh_n),
54
     .halt_n                            (halt_n),
55
     .busak_n                           (busak_n),
56
     .A                                 (A[15:0]),
57
     .do                                (do[7:0]),
58
     // Inputs
59
     .reset_n                           (reset_n),
60
     .clk                               (clk),
61
     .wait_n                            (wait_n),
62
     .int_n                             (int_n),
63
     .nmi_n                             (nmi_n),
64
     .busrq_n                           (busrq_n),
65
     .di                                (di[7:0]));
66
 
67
  async_mem ram
68
    (
69
     // Outputs
70
     .rd_data                           (di),
71
     // Inputs
72
     .wr_clk                            (clk),
73
     .wr_data                           (do),
74
     .wr_cs                             (ram_wr_cs),
75
     .addr                              (A[14:0]),
76
     .rd_cs                             (ram_rd_cs));
77
 
78
  async_mem rom
79
    (
80
     // Outputs
81
     .rd_data                           (di),
82
     // Inputs
83
     .wr_clk                            (),
84
     .wr_data                           (),
85
     .wr_cs                             (1'b0),
86
     .addr                              (A[14:0]),
87
     .rd_cs                             (rom_rd_cs));
88
 
89
  env_io env_io_inst
90
    (
91
     // Outputs
92
     .DI                                (di[7:0]),
93
     // Inputs
94
     .clk                               (clk),
95
     .iorq_n                            (iorq_n),
96
     .rd_n                              (rd_n),
97
     .wr_n                              (wr_n),
98
     .addr                              (A[7:0]),
99
     .DO                                (do[7:0]));
100
 
101 69 ghutchis
  //----------------------------------------------------------------------
102
  // UART
103
  //----------------------------------------------------------------------
104
 
105
  wire                uart_cs_n;
106
  wire [7:0]          uart_rd_data;
107
 
108
  wire                sin;
109
  wire                cts_n;
110
  wire                dsr_n;
111
  wire                ri_n;
112
  wire                dcd_n;
113
 
114
  wire                sout;
115
  wire                rts_n;
116
  wire                dtr_n;
117
  wire                out1_n;
118
  wire                out2_n;
119
  wire                baudout;
120
  wire                intr;
121
 
122
  // base address of 0x18 (24dec)
123
 
124
  assign              uart_cs_n = ~(!iorq_n & (A[7:3] == 5'h3));
125
  assign              di = (!uart_cs_n & !rd_n) ? uart_rd_data : 8'bz;
126
  assign              sin = sout;
127
 
128
  T16450 uart0
129
    (.reset_n     (reset_n),
130
     .clk         (clk),
131
     .rclk        (baudout),
132
     .cs_n        (uart_cs_n),
133
     .rd_n        (rd_n),
134
     .wr_n        (wr_n),
135
     .addr        (A[2:0]),
136
     .wr_data     (do),
137
     .rd_data     (uart_rd_data),
138
     .sin         (sin),
139
     .cts_n       (cts_n),
140
     .dsr_n       (dsr_n),
141
     .ri_n        (ri_n),
142
     .dcd_n       (dcd_n),
143
     .sout        (sout),
144
     .rts_n       (rts_n),
145
     .dtr_n       (dtr_n),
146
     .out1_n      (out1_n),
147
     .out2_n      (out2_n),
148
     .baudout     (baudout),
149
     .intr        (intr));
150
 
151
  //----------------------------------------------------------------------
152
  // Network Interface
153
  //----------------------------------------------------------------------
154
 
155
  //wire   nwintf_sel = !iorq_n & (A[7:3] == 5'b00001);
156 53 ghutchis
  wire [7:0] rx_data, tx_data;
157
  wire       rx_clk, rx_dv, rx_er;
158
  wire       tx_dv, tx_er;
159
  wire [7:0] nw_data_out;
160 66 ghutchis
  wire       nwintf_oe;
161 53 ghutchis
 
162
  // loopback config
163
  assign     rx_data = tx_data;
164
  assign     rx_dv = tx_dv;
165
  assign     rx_er = tx_er;
166
  assign     rx_clk = tx_clk;
167
 
168 66 ghutchis
  assign     di = (nwintf_oe) ? nw_data_out : 8'bz;
169
 
170 69 ghutchis
  simple_gmii_top nwintf
171 53 ghutchis
    (
172 66 ghutchis
     // unused outputs
173
     .int_n                             (),
174
     // Outputs
175
     .tx_dv                             (tx_dv),
176
     .tx_er                             (tx_er),
177
     .tx_data                           (tx_data),
178
     .tx_clk                            (tx_clk),
179
     .rd_data                           (nw_data_out),
180
     .doe                               (nwintf_oe),
181
     // Inputs
182
     .clk                               (clk),
183
     .reset                             (!reset_n),
184
     .rx_data                           (rx_data),
185
     .rx_clk                            (rx_clk),
186
     .rx_dv                             (rx_dv),
187
     .rx_er                             (rx_er),
188
     //.io_select                         (nwintf_sel),
189
     .iorq_n                            (iorq_n),
190
     .rd_n                              (rd_n),
191
     .wr_n                              (wr_n),
192
     .addr                              (A[15:0]),
193
     .wr_data                           (do));
194
 
195 69 ghutchis
  //----------------------------------------------------------------------
196
  // Global Initialization
197
  //----------------------------------------------------------------------
198
 
199
   initial
200 2 ghutchis
    begin
201 28 ghutchis
      clear_ram;
202 2 ghutchis
      reset_n = 0;
203
      wait_n = 1;
204
      int_n  = 1;
205
      nmi_n  = 1;
206
      busrq_n = 1;
207
      $readmemh (`PROGRAM_FILE,  tb_top.rom.mem);
208
      repeat (20) @(negedge clk);
209
      reset_n = 1;
210 42 ghutchis
    end // initial begin
211
 
212
`ifdef DUMP_START
213
  always
214
    begin
215
      if ($time > `DUMP_START)
216
        dumpon;
217
      #100;
218 2 ghutchis
    end
219 42 ghutchis
`endif
220
 
221
 
222 36 ghutchis
/*
223
  always
224
    begin
225
      while (mreq_n) @(posedge clk);
226
      wait_n <= #1 0;
227
      @(posedge clk);
228
      wait_n <= #1 1;
229
      while (!mreq_n) @(posedge clk);
230
    end
231
  */
232 2 ghutchis
 
233 31 ghutchis
`ifdef TV80_INSTRUCTION_DECODE
234
  reg [7:0] state;
235
  initial
236
    state = 0;
237
 
238
  always @(posedge clk)
239
    begin : inst_decode
240
      if ((`TV80_CORE_PATH.mcycle[6:0] == 1) &&
241
          (`TV80_CORE_PATH.tstate[6:0] == 8))
242
        begin
243
          op_decode.decode (`TV80_CORE_PATH.IR[7:0], state);
244
        end
245
      else if (`TV80_CORE_PATH.mcycle[6:0] != 1)
246
        state = 0;
247
    end
248
`endif
249
 
250 2 ghutchis
`include "env_tasks.v"
251
 
252
endmodule // tb_top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.