OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [rtl/] [simple_gmii/] [ram_1r_1w.v] - Blame information for rev 84

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 52 ghutchis
//
2
// Copyright (c) 2004 Guy Hutchison (ghutchis@opencores.org)
3
//
4
// Permission is hereby granted, free of charge, to any person obtaining a 
5
// copy of this software and associated documentation files (the "Software"), 
6
// to deal in the Software without restriction, including without limitation 
7
// the rights to use, copy, modify, merge, publish, distribute, sublicense, 
8
// and/or sell copies of the Software, and to permit persons to whom the 
9
// Software is furnished to do so, subject to the following conditions:
10
//
11
// The above copyright notice and this permission notice shall be included 
12
// in all copies or substantial portions of the Software.
13
//
14
// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, 
15
// EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF 
16
// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. 
17
// IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY 
18
// CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, 
19
// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE 
20
 
21
// RAM with 1 synchronous write port and one async read port
22
 
23
module ram_1r_1w (/*AUTOARG*/
24
  // Outputs
25
  rd_data,
26
  // Inputs
27
  clk, wr_addr, wr_en, wr_data, rd_addr
28
  );
29
 
30
  parameter  width = 8;
31
  parameter  depth = 128;
32
  parameter  addr_sz = 7;
33
 
34
  input               clk;
35
  input [addr_sz-1:0] wr_addr;
36
  input               wr_en;
37
  input [width-1:0]   wr_data;
38
 
39
  input [addr_sz-1:0] rd_addr;
40
  output [width-1:0]  rd_data;
41
 
42
  reg [width-1:0]     mem [0:depth-1];
43
 
44
  always @(posedge clk)
45
    begin
46
      if (wr_en)
47
        mem[wr_addr] <= #1 wr_data;
48
    end
49
 
50
  assign rd_data = mem[rd_addr];
51
 
52
endmodule // ram_1r_1w
53
 
54
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.