OpenCores
URL https://opencores.org/ocsvn/tv80/tv80/trunk

Subversion Repositories tv80

[/] [tv80/] [trunk/] [scripts/] [mem_image.py] - Blame information for rev 101

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 ghutchis
#!/usr/bin/env python
2
# Copyright (c) 2004 Guy Hutchison (ghutchis@opencores.org)
3
#
4
# Permission is hereby granted, free of charge, to any person obtaining a
5
# copy of this software and associated documentation files (the "Software"),
6
# to deal in the Software without restriction, including without limitation
7
# the rights to use, copy, modify, merge, publish, distribute, sublicense,
8
# and/or sell copies of the Software, and to permit persons to whom the
9
# Software is furnished to do so, subject to the following conditions:
10
#
11
# The above copyright notice and this permission notice shall be included
12
# in all copies or substantial portions of the Software.
13
#
14
# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND,
15
# EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF
16
# MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.
17
# IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY
18
# CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT,
19
# TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE
20
# SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
21
 
22
class mem_image:
23
    def __init__ (self):
24
        self.min = 100000
25
        self.max = -1
26
        self.map = {}
27
        self.bcount = 0
28
 
29
    def load_ihex (self, infile):
30
        ifh = open (infile, 'r')
31
 
32
        line = ifh.readline()
33
        while (line != ''):
34
            if (line[0] == ':'):
35
                rlen = int(line[1:3], 16)
36
                addr = int(line[3:7], 16)
37
                rtyp = int(line[7:9], 16)
38
                ptr = 9
39
                for i in range (0, rlen):
40
                    laddr = addr + i
41
                    val = int(line[9+i*2:9+i*2+2], 16)
42
                    self.map[laddr] = val
43
                    self.bcount += 1
44
                    if (laddr > self.max): self.max = laddr
45
                    if (laddr < self.min): self.min = laddr
46
 
47
            line = ifh.readline()
48
 
49
        ifh.close()
50
 
51
    def save_vmem (self, outfile, start=-1, stop=-1):
52
        if (start == -1): start = self.min
53
        if (stop == -1): stop = self.max
54
 
55
        ofh = open (outfile, 'w')
56
        for addr in range(start, stop+1):
57
            if self.map.has_key (addr):
58
                ofh.write ("@%02x %02x\n" % (addr-start, self.map[addr]))
59
        ofh.close()
60
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.