OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [tags/] [initial/] [verilog/] [UART_wb.v] - Blame information for rev 106

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 gorban
// UART core WISHBONE interface 
2
//
3
// Author: Jacob Gorban   (jacob.gorban@flextronicssemi.com)
4
// Company: Flextronics Semiconductor
5
//
6
// Releases:
7
//              1.1     First release
8
//
9
 
10
`include "timescale.v"
11
 
12
module UART_wb (clk,
13
        wb_rst_i,
14
        //wb_dat_i, wb_dat_o, wb_addr_i,
15
        wb_we_i, wb_stb_i, wb_cyc_i, wb_ack_o,
16
        //int_o,
17
        we_o // Write enable output for the core
18
        //dat_i, dat_o, addr_i
19
 
20
        );
21
 
22
input                           clk;
23
 
24
// WISHBONE interface   
25
input                           wb_rst_i;
26
//input   [`ADDR_WIDTH-1:0]     wb_addr_i;
27
//input   [7:0]                 wb_dat_i;
28
//output  [7:0]                 wb_dat_o;
29
input                           wb_we_i;
30
input                           wb_stb_i;
31
input                           wb_cyc_i;
32
output                          wb_ack_o;
33
//output                                int_o;
34
output                          we_o;
35
//output        [`ADDR_WIDTH-1:0]       addr_i;
36
//output        [7:0]                   dat_i;
37
 
38
reg                             we_o;
39
reg                             wb_ack_o;
40
//reg   [7:0]                   wb_dat_i;
41
//reg   [7:0]                   wb_dat_o;
42
//reg   [`ADDR_WIDTH-1:0]       wb_addr_i;
43
always @(posedge clk or posedge wb_rst_i)
44
begin
45
        if (wb_rst_i == 1)
46
        begin
47
                we_o <= #1 0;
48
                wb_ack_o <= #1 0;
49
        end
50
        else
51
        begin
52
                we_o <= #1 wb_we_i & wb_cyc_i & wb_stb_i; //WE for registers    
53
                wb_ack_o <= #1 wb_stb_i & wb_cyc_i; // 1 clock wait state on all transfers
54
        end
55
end
56
 
57
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.