OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Blame information for rev 52

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_regs.v                                                 ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  Registers of the uart 16550 core                            ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  Inserts 1 wait state in all WISHBONE transfers              ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Nothing or verification.                                    ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   (See log for the revision history           ////
33
////                                                              ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
38 27 mohor
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 52 gorban
// Revision 1.27  2001/12/06 14:51:04  gorban
66
// Bug in LSR[0] is fixed.
67
// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.
68
//
69 50 gorban
// Revision 1.26  2001/12/03 21:44:29  gorban
70
// Updated specification documentation.
71
// Added full 32-bit data bus interface, now as default.
72
// Address is 5-bit wide in 32-bit data bus mode.
73
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
74
// Added debug interface with two 32-bit read-only registers in 32-bit mode.
75
// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.
76
// My small test bench is modified to work with 32-bit mode.
77
//
78 48 gorban
// Revision 1.25  2001/11/28 19:36:39  gorban
79
// Fixed: timeout and break didn't pay attention to current data format when counting time
80
//
81 47 gorban
// Revision 1.24  2001/11/26 21:38:54  gorban
82
// Lots of fixes:
83
// Break condition wasn't handled correctly at all.
84
// LSR bits could lose their values.
85
// LSR value after reset was wrong.
86
// Timing of THRE interrupt signal corrected.
87
// LSR bit 0 timing corrected.
88
//
89 45 gorban
// Revision 1.23  2001/11/12 21:57:29  gorban
90
// fixed more typo bugs
91
//
92 44 gorban
// Revision 1.22  2001/11/12 15:02:28  mohor
93
// lsr1r error fixed.
94
//
95 43 mohor
// Revision 1.21  2001/11/12 14:57:27  mohor
96
// ti_int_pnd error fixed.
97
//
98 42 mohor
// Revision 1.20  2001/11/12 14:50:27  mohor
99
// ti_int_d error fixed.
100
//
101 41 mohor
// Revision 1.19  2001/11/10 12:43:21  gorban
102
// Synthesis bugs fixed. Some other minor changes
103
//
104 40 gorban
// Revision 1.18  2001/11/08 14:54:23  mohor
105
// Comments in Slovene language deleted, few small fixes for better work of
106
// old tools. IRQs need to be fix.
107
//
108 39 mohor
// Revision 1.17  2001/11/07 17:51:52  gorban
109
// Heavily rewritten interrupt and LSR subsystems.
110
// Many bugs hopefully squashed.
111
//
112 37 gorban
// Revision 1.16  2001/11/02 09:55:16  mohor
113
// no message
114
//
115 36 mohor
// Revision 1.15  2001/10/31 15:19:22  gorban
116
// Fixes to break and timeout conditions
117
//
118 35 gorban
// Revision 1.14  2001/10/29 17:00:46  gorban
119
// fixed parity sending and tx_fifo resets over- and underrun
120
//
121 34 gorban
// Revision 1.13  2001/10/20 09:58:40  gorban
122
// Small synopsis fixes
123
//
124 33 gorban
// Revision 1.12  2001/10/19 16:21:40  gorban
125
// Changes data_out to be synchronous again as it should have been.
126
//
127 32 gorban
// Revision 1.11  2001/10/18 20:35:45  gorban
128
// small fix
129
//
130 31 gorban
// Revision 1.10  2001/08/24 21:01:12  mohor
131
// Things connected to parity changed.
132
// Clock devider changed.
133
//
134 29 mohor
// Revision 1.9  2001/08/23 16:05:05  mohor
135
// Stop bit bug fixed.
136
// Parity bug fixed.
137
// WISHBONE read cycle bug fixed,
138
// OE indicator (Overrun Error) bug fixed.
139
// PE indicator (Parity Error) bug fixed.
140
// Register read bug fixed.
141
//
142 27 mohor
// Revision 1.10  2001/06/23 11:21:48  gorban
143
// DL made 16-bit long. Fixed transmission/reception bugs.
144
//
145
// Revision 1.9  2001/05/31 20:08:01  gorban
146
// FIFO changes and other corrections.
147
//
148
// Revision 1.8  2001/05/29 20:05:04  gorban
149
// Fixed some bugs and synthesis problems.
150
//
151
// Revision 1.7  2001/05/27 17:37:49  gorban
152
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
153
//
154
// Revision 1.6  2001/05/21 19:12:02  gorban
155
// Corrected some Linter messages.
156
//
157
// Revision 1.5  2001/05/17 18:34:18  gorban
158
// First 'stable' release. Should be sythesizable now. Also added new header.
159
//
160
// Revision 1.0  2001-05-17 21:27:11+02  jacob
161
// Initial revision
162
//
163
//
164
 
165 33 gorban
// synopsys translate_off
166 27 mohor
`include "timescale.v"
167 33 gorban
// synopsys translate_on
168
 
169 27 mohor
`include "uart_defines.v"
170
 
171
`define UART_DL1 7:0
172
`define UART_DL2 15:8
173
 
174
module uart_regs (clk,
175
        wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i,
176
 
177
// additional signals
178
        modem_inputs,
179
        stx_pad_o, srx_pad_i,
180 48 gorban
 
181
`ifdef DATA_BUS_WIDTH_8
182
`else
183
// debug interface signals      enabled
184
ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate,
185
`endif
186 27 mohor
        rts_pad_o, dtr_pad_o, int_o
187
        );
188
 
189 37 gorban
input                                                                   clk;
190
input                                                                   wb_rst_i;
191
input [`UART_ADDR_WIDTH-1:0]             wb_addr_i;
192
input [7:0]                                                      wb_dat_i;
193
output [7:0]                                                     wb_dat_o;
194
input                                                                   wb_we_i;
195
input                                                                   wb_re_i;
196 27 mohor
 
197 37 gorban
output                                                                  stx_pad_o;
198
input                                                                   srx_pad_i;
199 27 mohor
 
200 37 gorban
input [3:0]                                                      modem_inputs;
201
output                                                                  rts_pad_o;
202
output                                                                  dtr_pad_o;
203
output                                                                  int_o;
204 27 mohor
 
205 48 gorban
`ifdef DATA_BUS_WIDTH_8
206
`else
207
// if 32-bit databus and debug interface are enabled
208
output [3:0]                                                     ier;
209
output [3:0]                                                     iir;
210
output [1:0]                                                     fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
211
output [4:0]                                                     mcr;
212
output [7:0]                                                     lcr;
213
output [7:0]                                                     msr;
214
output [7:0]                                                     lsr;
215
output [`UART_FIFO_COUNTER_W-1:0]        rf_count;
216
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
217
output [2:0]                                                     tstate;
218
output [3:0]                                                     rstate;
219
 
220
`endif
221
 
222 37 gorban
wire [3:0]                                                               modem_inputs;
223
reg                                                                             enable;
224
wire                                                                            stx_pad_o;              // received from transmitter module
225
wire                                                                            srx_pad_i;
226 27 mohor
 
227 37 gorban
reg [7:0]                                                                wb_dat_o;
228 27 mohor
 
229 37 gorban
wire [`UART_ADDR_WIDTH-1:0]              wb_addr_i;
230
wire [7:0]                                                               wb_dat_i;
231 27 mohor
 
232
 
233 37 gorban
reg [3:0]                                                                ier;
234
reg [3:0]                                                                iir;
235
reg [1:0]                                                                fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
236
reg [4:0]                                                                mcr;
237
reg [7:0]                                                                lcr;
238
reg [7:0]                                                                msr;
239
reg [15:0]                                                               dl;  // 32-bit divisor latch
240 52 gorban
reg [7:0]                                                                scratch; // UART scratch register
241 37 gorban
reg                                                                             start_dlc; // activate dlc on writing to UART_DL1
242
reg                                                                             lsr_mask_d; // delay for lsr_mask condition
243
reg                                                                             msi_reset; // reset MSR 4 lower bits indicator
244 40 gorban
//reg                                                                           threi_clear; // THRE interrupt clear flag
245 37 gorban
reg [15:0]                                                               dlc;  // 32-bit divisor latch counter
246
reg                                                                             int_o;
247 27 mohor
 
248 37 gorban
reg [3:0]                                                                trigger_level; // trigger level of the receiver FIFO
249
reg                                                                             rx_reset;
250
reg                                                                             tx_reset;
251 27 mohor
 
252 37 gorban
wire                                                                            dlab;                      // divisor latch access bit
253
wire                                                                            cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits
254
wire                                                                            loopback;                  // loopback bit (MCR bit 4)
255
wire                                                                            cts, dsr, ri, dcd;         // effective signals (considering loopback)
256
wire                                                                            rts_pad_o, dtr_pad_o;              // modem control outputs
257 27 mohor
 
258 37 gorban
// LSR bits wires and regs
259
wire [7:0]                                                               lsr;
260
wire                                                                            lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7;
261
reg                                                                             lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r;
262
wire                                                                            lsr_mask; // lsr_mask
263
 
264 27 mohor
//
265
// ASSINGS
266
//
267
 
268 37 gorban
assign                                                                  lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r };
269 27 mohor
 
270 37 gorban
assign                                                                  {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs;
271
assign                                                                  {cts, dsr, ri, dcd} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
272
                                                                                        : ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
273
 
274
assign                                                                  dlab = lcr[`UART_LC_DL];
275
assign                                                                  loopback = mcr[4];
276
 
277 27 mohor
// assign modem outputs
278 37 gorban
assign                                                                  rts_pad_o = mcr[`UART_MC_RTS];
279
assign                                                                  dtr_pad_o = mcr[`UART_MC_DTR];
280 27 mohor
 
281
// Interrupt signals
282 37 gorban
wire                                                                            rls_int;  // receiver line status interrupt
283
wire                                                                            rda_int;  // receiver data available interrupt
284
wire                                                                            ti_int;   // timeout indicator interrupt
285
wire                                                                            thre_int; // transmitter holding register empty interrupt
286
wire                                                                            ms_int;   // modem status interrupt
287 27 mohor
 
288
// FIFO signals
289 37 gorban
reg                                                                             tf_push;
290
reg                                                                             rf_pop;
291
wire [`UART_FIFO_REC_WIDTH-1:0]  rf_data_out;
292
wire                                                                            rf_error_bit; // an error (parity or framing) is inside the fifo
293
wire [`UART_FIFO_COUNTER_W-1:0]  rf_count;
294
wire [`UART_FIFO_COUNTER_W-1:0]  tf_count;
295 48 gorban
wire [2:0]                                                               tstate;
296
wire [3:0]                                                               rstate;
297 37 gorban
wire [9:0]                                                               counter_t;
298 27 mohor
 
299 37 gorban
 
300 27 mohor
// Transmitter Instance
301 48 gorban
uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask);
302 27 mohor
 
303
// Receiver Instance
304
uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable, rda_int,
305 50 gorban
        counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push);
306 27 mohor
 
307 32 gorban
 
308 48 gorban
// Asynchronous reading here because the outputs are sampled in uart_wb.v file 
309 52 gorban
always @(dl or dlab or ier or iir or scratch
310 48 gorban
                        or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i)   // asynchrounous reading
311 27 mohor
begin
312 52 gorban
        case (wb_addr_i)
313
                `UART_REG_RB   : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3];
314
                `UART_REG_IE    : wb_dat_o = dlab ? dl[`UART_DL2] : ier;
315
                `UART_REG_II    : wb_dat_o = {4'b1100,iir};
316
                `UART_REG_LC    : wb_dat_o = lcr;
317
                `UART_REG_LS    : wb_dat_o = lsr;
318
                `UART_REG_MS    : wb_dat_o = msr;
319
                `UART_REG_SR    : wb_dat_o = scratch;
320
                default:  wb_dat_o = 8'b0; // ??
321
        endcase // case(wb_addr_i)
322
end // always @ (dl or dlab or ier or iir or scratch...
323 27 mohor
 
324 52 gorban
 
325 27 mohor
// rf_pop signal handling
326
always @(posedge clk or posedge wb_rst_i)
327
begin
328
        if (wb_rst_i)
329
                rf_pop <= #1 0;
330
        else
331
        if (rf_pop)     // restore the signal to 0 after one clock cycle
332
                rf_pop <= #1 0;
333
        else
334
        if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab)
335
                rf_pop <= #1 1; // advance read pointer
336
end
337
 
338 37 gorban
wire    lsr_mask_condition;
339
wire    iir_read;
340
wire  msr_read;
341
wire    fifo_read;
342 45 gorban
wire    fifo_write;
343 37 gorban
 
344
assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab);
345
assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab);
346
assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab);
347
assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab);
348 45 gorban
assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab);
349 37 gorban
 
350
// lsr_mask_d delayed signal handling
351 27 mohor
always @(posedge clk or posedge wb_rst_i)
352
begin
353
        if (wb_rst_i)
354 37 gorban
                lsr_mask_d <= #1 0;
355
        else // reset bits in the Line Status Register
356
                lsr_mask_d <= #1 lsr_mask_condition;
357 27 mohor
end
358
 
359 37 gorban
// lsr_mask is rise detected
360
assign lsr_mask = lsr_mask_condition && ~lsr_mask_d;
361 27 mohor
 
362
// msi_reset signal handling
363
always @(posedge clk or posedge wb_rst_i)
364
begin
365
        if (wb_rst_i)
366
                msi_reset <= #1 0;
367
        else
368
        if (msi_reset)
369
                msi_reset <= #1 0;
370
        else
371 47 gorban
        if (msr_read)
372 27 mohor
                msi_reset <= #1 1; // reset bits in Modem Status Register
373
end
374
 
375
 
376
//
377
//   WRITES AND RESETS   //
378
//
379
// Line Control Register
380
always @(posedge clk or posedge wb_rst_i)
381
        if (wb_rst_i)
382
                lcr <= #1 8'b00000011; // 8n1 setting
383
        else
384
        if (wb_we_i && wb_addr_i==`UART_REG_LC)
385
                lcr <= #1 wb_dat_i;
386
 
387
// Interrupt Enable Register or UART_DL2
388
always @(posedge clk or posedge wb_rst_i)
389
        if (wb_rst_i)
390
        begin
391
                ier <= #1 4'b0000; // no interrupts after reset
392
                dl[`UART_DL2] <= #1 8'b0;
393
        end
394
        else
395
        if (wb_we_i && wb_addr_i==`UART_REG_IE)
396
                if (dlab)
397
                begin
398
                        dl[`UART_DL2] <= #1 wb_dat_i;
399
                end
400
                else
401
                        ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb
402
 
403
 
404
// FIFO Control Register and rx_reset, tx_reset signals
405
always @(posedge clk or posedge wb_rst_i)
406
        if (wb_rst_i) begin
407
                fcr <= #1 2'b11;
408
                rx_reset <= #1 0;
409
                tx_reset <= #1 0;
410
        end else
411
        if (wb_we_i && wb_addr_i==`UART_REG_FC) begin
412
                fcr <= #1 wb_dat_i[7:6];
413
                rx_reset <= #1 wb_dat_i[1];
414
                tx_reset <= #1 wb_dat_i[2];
415 37 gorban
        end else begin
416 27 mohor
                rx_reset <= #1 0;
417
                tx_reset <= #1 0;
418
        end
419
 
420
// Modem Control Register
421
always @(posedge clk or posedge wb_rst_i)
422
        if (wb_rst_i)
423
                mcr <= #1 5'b0;
424
        else
425
        if (wb_we_i && wb_addr_i==`UART_REG_MC)
426
                        mcr <= #1 wb_dat_i[4:0];
427
 
428 52 gorban
// Scratch register
429
// Line Control Register
430
always @(posedge clk or posedge wb_rst_i)
431
        if (wb_rst_i)
432
                scratch <= #1 0; // 8n1 setting
433
        else
434
        if (wb_we_i && wb_addr_i==`UART_REG_SR)
435
                scratch <= #1 wb_dat_i;
436
 
437 27 mohor
// TX_FIFO or UART_DL1
438
always @(posedge clk or posedge wb_rst_i)
439
        if (wb_rst_i)
440
        begin
441
                dl[`UART_DL1]  <= #1 8'b0;
442
                tf_push   <= #1 1'b0;
443
                start_dlc <= #1 1'b0;
444
        end
445
        else
446
        if (wb_we_i && wb_addr_i==`UART_REG_TR)
447
                if (dlab)
448
                begin
449
                        dl[`UART_DL1] <= #1 wb_dat_i;
450
                        start_dlc <= #1 1'b1; // enable DL counter
451
                        tf_push <= #1 1'b0;
452
                end
453
                else
454
                begin
455
                        tf_push   <= #1 1'b1;
456
                        start_dlc <= #1 1'b0;
457 37 gorban
                end // else: !if(dlab)
458 27 mohor
        else
459
        begin
460
                start_dlc <= #1 1'b0;
461
                tf_push   <= #1 1'b0;
462 37 gorban
        end // else: !if(dlab)
463 27 mohor
 
464
// Receiver FIFO trigger level selection logic (asynchronous mux)
465 31 gorban
always @(fcr)
466 27 mohor
        case (fcr[`UART_FC_TL])
467
                2'b00 : trigger_level = 1;
468
                2'b01 : trigger_level = 4;
469
                2'b10 : trigger_level = 8;
470
                2'b11 : trigger_level = 14;
471 37 gorban
        endcase // case(fcr[`UART_FC_TL])
472 27 mohor
 
473
//
474
//  STATUS REGISTERS  //
475
//
476
 
477
// Modem Status Register
478
always @(posedge clk or posedge wb_rst_i)
479
begin
480
        if (wb_rst_i)
481
                msr <= #1 0;
482
        else begin
483
                msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 :
484
                        msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ msr[`UART_MS_CDCD:`UART_MS_CCTS]);
485
                msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd, ri, dsr, cts};
486
        end
487
end
488
 
489
// Line Status Register
490 37 gorban
 
491
// activation conditions
492 50 gorban
assign lsr0 = (rf_count==0 && rf_push);  // data in receiver fifo available set condition
493 37 gorban
assign lsr1 = rf_overrun;     // Receiver overrun error
494
assign lsr2 = rf_data_out[1]; // parity error bit
495
assign lsr3 = rf_data_out[0]; // framing error bit
496 45 gorban
assign lsr4 = rf_data_out[2]; // break error in the character
497 37 gorban
assign lsr5 = (tf_count==5'b0);  // transmitter fifo is empty
498 48 gorban
assign lsr6 = (tf_count==5'b0 && (tstate == /*`S_IDLE */ 0)); // transmitter empty
499 37 gorban
assign lsr7 = rf_error_bit;
500
 
501
// lsr bit0 (receiver data available)
502 45 gorban
reg      lsr0_d;
503
 
504 27 mohor
always @(posedge clk or posedge wb_rst_i)
505 45 gorban
        if (wb_rst_i) lsr0_d <= #1 0;
506
        else lsr0_d <= #1 lsr0;
507
 
508
always @(posedge clk or posedge wb_rst_i)
509 37 gorban
        if (wb_rst_i) lsr0r <= #1 0;
510 45 gorban
        else lsr0r <= #1 (rf_count==1 && fifo_read) ? 0 : // deassert condition
511
                                          lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted 
512 27 mohor
 
513 37 gorban
// lsr bit 1 (receiver overrun)
514
reg lsr1_d; // delayed
515 29 mohor
 
516 37 gorban
always @(posedge clk or posedge wb_rst_i)
517
        if (wb_rst_i) lsr1_d <= #1 0;
518
        else lsr1_d <= #1 lsr1;
519
 
520
always @(posedge clk or posedge wb_rst_i)
521 43 mohor
        if (wb_rst_i) lsr1r <= #1 0;
522 45 gorban
        else    lsr1r <= #1     lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise
523 37 gorban
 
524
// lsr bit 2 (parity error)
525
reg lsr2_d; // delayed
526
 
527
always @(posedge clk or posedge wb_rst_i)
528
        if (wb_rst_i) lsr2_d <= #1 0;
529
        else lsr2_d <= #1 lsr2;
530
 
531
always @(posedge clk or posedge wb_rst_i)
532 44 gorban
        if (wb_rst_i) lsr2r <= #1 0;
533 45 gorban
        else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise
534 37 gorban
 
535
// lsr bit 3 (framing error)
536
reg lsr3_d; // delayed
537
 
538
always @(posedge clk or posedge wb_rst_i)
539
        if (wb_rst_i) lsr3_d <= #1 0;
540
        else lsr3_d <= #1 lsr3;
541
 
542
always @(posedge clk or posedge wb_rst_i)
543 44 gorban
        if (wb_rst_i) lsr3r <= #1 0;
544 45 gorban
        else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise
545 37 gorban
 
546
// lsr bit 4 (break indicator)
547
reg lsr4_d; // delayed
548
 
549
always @(posedge clk or posedge wb_rst_i)
550
        if (wb_rst_i) lsr4_d <= #1 0;
551
        else lsr4_d <= #1 lsr4;
552
 
553
always @(posedge clk or posedge wb_rst_i)
554 44 gorban
        if (wb_rst_i) lsr4r <= #1 0;
555 45 gorban
        else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d);
556 37 gorban
 
557
// lsr bit 5 (transmitter fifo is empty)
558
reg lsr5_d;
559
 
560
always @(posedge clk or posedge wb_rst_i)
561 45 gorban
        if (wb_rst_i) lsr5_d <= #1 1;
562 37 gorban
        else lsr5_d <= #1 lsr5;
563
 
564
always @(posedge clk or posedge wb_rst_i)
565 45 gorban
        if (wb_rst_i) lsr5r <= #1 1;
566 50 gorban
        else lsr5r <= #1 (fifo_write) ? 0 :  lsr5r || (lsr5 && ~lsr5_d);
567 37 gorban
 
568
// lsr bit 6 (transmitter empty indicator)
569
reg lsr6_d;
570
 
571
always @(posedge clk or posedge wb_rst_i)
572 45 gorban
        if (wb_rst_i) lsr6_d <= #1 1;
573 37 gorban
        else lsr6_d <= #1 lsr6;
574
 
575
always @(posedge clk or posedge wb_rst_i)
576 45 gorban
        if (wb_rst_i) lsr6r <= #1 1;
577 50 gorban
        else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d);
578 37 gorban
 
579
// lsr bit 7 (error in fifo)
580
reg lsr7_d;
581
 
582
always @(posedge clk or posedge wb_rst_i)
583
        if (wb_rst_i) lsr7_d <= #1 0;
584
        else lsr7_d <= #1 lsr7;
585
 
586
always @(posedge clk or posedge wb_rst_i)
587 44 gorban
        if (wb_rst_i) lsr7r <= #1 0;
588 45 gorban
        else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d);
589 37 gorban
 
590 29 mohor
// Frequency divider
591 37 gorban
always @(posedge clk or posedge wb_rst_i)
592 29 mohor
begin
593
        if (wb_rst_i)
594
                dlc <= #1 0;
595
        else
596 37 gorban
                if (start_dlc | ~ (|dlc))
597
                        dlc <= #1 dl - 1;               // preset counter
598
                else
599
                        dlc <= #1 dlc - 1;              // decrement counter
600 29 mohor
end
601
 
602 27 mohor
// Enable signal generation logic
603
always @(posedge clk or posedge wb_rst_i)
604
begin
605
        if (wb_rst_i)
606
                enable <= #1 1'b0;
607
        else
608 37 gorban
                if (|dl & ~(|dlc))     // dl>0 & dlc==0
609
                        enable <= #1 1'b1;
610
                else
611
                        enable <= #1 1'b0;
612 27 mohor
end
613
 
614 37 gorban
//
615
//      INTERRUPT LOGIC
616
//
617 29 mohor
 
618 37 gorban
assign rls_int  = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]);
619
assign rda_int  = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level});
620 40 gorban
assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE];
621 37 gorban
assign ms_int   = ier[`UART_IE_MS] && (| msr[3:0]);
622
assign ti_int   = ier[`UART_IE_RDA] && (counter_t == 10'b0);
623 29 mohor
 
624 37 gorban
reg      rls_int_d;
625
reg      thre_int_d;
626
reg      ms_int_d;
627
reg      ti_int_d;
628 45 gorban
reg      rda_int_d;
629 29 mohor
 
630 37 gorban
// delay lines
631
always  @(posedge clk or posedge wb_rst_i)
632
        if (wb_rst_i) rls_int_d <= #1 0;
633
        else rls_int_d <= #1 rls_int;
634 29 mohor
 
635 37 gorban
always  @(posedge clk or posedge wb_rst_i)
636 45 gorban
        if (wb_rst_i) rda_int_d <= #1 0;
637
        else rda_int_d <= #1 rda_int;
638
 
639
always  @(posedge clk or posedge wb_rst_i)
640 37 gorban
        if (wb_rst_i) thre_int_d <= #1 0;
641
        else thre_int_d <= #1 thre_int;
642 29 mohor
 
643 37 gorban
always  @(posedge clk or posedge wb_rst_i)
644
        if (wb_rst_i) ms_int_d <= #1 0;
645
        else ms_int_d <= #1 ms_int;
646 29 mohor
 
647 37 gorban
always  @(posedge clk or posedge wb_rst_i)
648 41 mohor
        if (wb_rst_i) ti_int_d <= #1 0;
649 37 gorban
        else ti_int_d <= #1 ti_int;
650 27 mohor
 
651 37 gorban
// rise detection signals
652
 
653
wire     rls_int_rise;
654
wire     thre_int_rise;
655
wire     ms_int_rise;
656
wire     ti_int_rise;
657 45 gorban
wire     rda_int_rise;
658 37 gorban
 
659 45 gorban
assign rda_int_rise    = rda_int & ~rda_int_d;
660 37 gorban
assign rls_int_rise       = rls_int & ~rls_int_d;
661
assign thre_int_rise   = thre_int & ~thre_int_d;
662
assign ms_int_rise        = ms_int & ~ms_int_d;
663
assign ti_int_rise        = ti_int & ~ti_int_d;
664
 
665
// interrupt pending flags
666
reg     rls_int_pnd;
667 45 gorban
reg     rda_int_pnd;
668 37 gorban
reg     thre_int_pnd;
669
reg     ms_int_pnd;
670
reg     ti_int_pnd;
671
 
672
// interrupt pending flags assignments
673
always  @(posedge clk or posedge wb_rst_i)
674
        if (wb_rst_i) rls_int_pnd <= #1 0;
675
        else
676
                rls_int_pnd <= #1 lsr_mask ? 0 :                                                 // reset condition
677
                                                        rls_int_rise ? 1 :                                              // latch condition
678
                                                        rls_int_pnd && ier[`UART_IE_RLS];       // default operation: remove if masked
679
 
680
always  @(posedge clk or posedge wb_rst_i)
681 45 gorban
        if (wb_rst_i) rda_int_pnd <= #1 0;
682
        else
683
                rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 :        // reset condition
684
                                                        rda_int_rise ? 1 :                                              // latch condition
685
                                                        rda_int_pnd && ier[`UART_IE_RDA];       // default operation: remove if masked
686
 
687
always  @(posedge clk or posedge wb_rst_i)
688 37 gorban
        if (wb_rst_i) thre_int_pnd <= #1 0;
689
        else
690 45 gorban
                thre_int_pnd <= #1 fifo_write || iir_read ? 0 :
691 37 gorban
                                                        thre_int_rise ? 1 :
692
                                                        thre_int_pnd && ier[`UART_IE_THRE];
693
 
694
always  @(posedge clk or posedge wb_rst_i)
695
        if (wb_rst_i) ms_int_pnd <= #1 0;
696
        else
697
                ms_int_pnd <= #1 msr_read ? 0 :
698
                                                        ms_int_rise ? 1 :
699
                                                        ms_int_pnd && ier[`UART_IE_MS];
700
 
701
always  @(posedge clk or posedge wb_rst_i)
702 42 mohor
        if (wb_rst_i) ti_int_pnd <= #1 0;
703 37 gorban
        else
704
                ti_int_pnd <= #1 fifo_read ? 0 :
705
                                                        ti_int_rise ? 1 :
706
                                                        ti_int_pnd && ier[`UART_IE_RDA];
707
// end of pending flags
708
 
709
// INT_O logic
710 27 mohor
always @(posedge clk or posedge wb_rst_i)
711
begin
712
        if (wb_rst_i)
713
                int_o <= #1 1'b0;
714
        else
715 37 gorban
                int_o <= #1
716
                                        rls_int_pnd             ?       ~lsr_mask                                       :
717 45 gorban
                                        rda_int_pnd             ? 1                                                             :
718 37 gorban
                                        ti_int_pnd              ? ~fifo_read                                    :
719 45 gorban
                                        thre_int_pnd    ? !(fifo_write & iir_read) :
720 37 gorban
                                        ms_int_pnd              ? ~msr_read                                             :
721
                                        0;       // if no interrupt are pending
722 27 mohor
end
723
 
724
 
725
// Interrupt Identification register
726
always @(posedge clk or posedge wb_rst_i)
727
begin
728
        if (wb_rst_i)
729
                iir <= #1 1;
730
        else
731 37 gorban
        if (rls_int_pnd)  // interrupt is pending
732 27 mohor
        begin
733
                iir[`UART_II_II] <= #1 `UART_II_RLS;    // set identification register to correct value
734
                iir[`UART_II_IP] <= #1 1'b0;            // and clear the IIR bit 0 (interrupt pending)
735 37 gorban
        end else // the sequence of conditions determines priority of interrupt identification
736 27 mohor
        if (rda_int)
737
        begin
738
                iir[`UART_II_II] <= #1 `UART_II_RDA;
739
                iir[`UART_II_IP] <= #1 1'b0;
740
        end
741 37 gorban
        else if (ti_int_pnd)
742 27 mohor
        begin
743
                iir[`UART_II_II] <= #1 `UART_II_TI;
744
                iir[`UART_II_IP] <= #1 1'b0;
745
        end
746 37 gorban
        else if (thre_int_pnd)
747 27 mohor
        begin
748
                iir[`UART_II_II] <= #1 `UART_II_THRE;
749
                iir[`UART_II_IP] <= #1 1'b0;
750
        end
751 37 gorban
        else if (ms_int_pnd)
752 27 mohor
        begin
753
                iir[`UART_II_II] <= #1 `UART_II_MS;
754
                iir[`UART_II_IP] <= #1 1'b0;
755 37 gorban
        end else        // no interrupt is pending
756 27 mohor
        begin
757 40 gorban
                iir[`UART_II_II] <= #1 0;
758 27 mohor
                iir[`UART_II_IP] <= #1 1'b1;
759
        end
760
end
761
 
762
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.