OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_regs.v                                                 ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  Registers of the uart 16550 core                            ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  Inserts 1 wait state in all WISHBONE transfers              ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Nothing or verification.                                    ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   (See log for the revision history           ////
33
////                                                              ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
38 27 mohor
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 64 mohor
// Revision 1.34  2001/12/19 07:33:54  mohor
66
// Synplicity was having troubles with the comment.
67
//
68 63 mohor
// Revision 1.33  2001/12/17 10:14:43  mohor
69
// Things related to msr register changed. After THRE IRQ occurs, and one
70
// character is written to the transmit fifo, the detection of the THRE bit in the
71
// LSR is delayed for one character time.
72
//
73 60 mohor
// Revision 1.32  2001/12/14 13:19:24  mohor
74
// MSR register fixed.
75
//
76 59 mohor
// Revision 1.31  2001/12/14 10:06:58  mohor
77
// After reset modem status register MSR should be reset.
78
//
79 58 mohor
// Revision 1.30  2001/12/13 10:09:13  mohor
80
// thre irq should be cleared only when being source of interrupt.
81
//
82 56 mohor
// Revision 1.29  2001/12/12 09:05:46  mohor
83
// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo).
84
//
85 54 mohor
// Revision 1.28  2001/12/10 19:52:41  gorban
86
// Scratch register added
87
//
88 52 gorban
// Revision 1.27  2001/12/06 14:51:04  gorban
89
// Bug in LSR[0] is fixed.
90
// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.
91
//
92 50 gorban
// Revision 1.26  2001/12/03 21:44:29  gorban
93
// Updated specification documentation.
94
// Added full 32-bit data bus interface, now as default.
95
// Address is 5-bit wide in 32-bit data bus mode.
96
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
97
// Added debug interface with two 32-bit read-only registers in 32-bit mode.
98
// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.
99
// My small test bench is modified to work with 32-bit mode.
100
//
101 48 gorban
// Revision 1.25  2001/11/28 19:36:39  gorban
102
// Fixed: timeout and break didn't pay attention to current data format when counting time
103
//
104 47 gorban
// Revision 1.24  2001/11/26 21:38:54  gorban
105
// Lots of fixes:
106
// Break condition wasn't handled correctly at all.
107
// LSR bits could lose their values.
108
// LSR value after reset was wrong.
109
// Timing of THRE interrupt signal corrected.
110
// LSR bit 0 timing corrected.
111
//
112 45 gorban
// Revision 1.23  2001/11/12 21:57:29  gorban
113
// fixed more typo bugs
114
//
115 44 gorban
// Revision 1.22  2001/11/12 15:02:28  mohor
116
// lsr1r error fixed.
117
//
118 43 mohor
// Revision 1.21  2001/11/12 14:57:27  mohor
119
// ti_int_pnd error fixed.
120
//
121 42 mohor
// Revision 1.20  2001/11/12 14:50:27  mohor
122
// ti_int_d error fixed.
123
//
124 41 mohor
// Revision 1.19  2001/11/10 12:43:21  gorban
125 63 mohor
// Logic Synthesis bugs fixed. Some other minor changes
126 41 mohor
//
127 40 gorban
// Revision 1.18  2001/11/08 14:54:23  mohor
128
// Comments in Slovene language deleted, few small fixes for better work of
129
// old tools. IRQs need to be fix.
130
//
131 39 mohor
// Revision 1.17  2001/11/07 17:51:52  gorban
132
// Heavily rewritten interrupt and LSR subsystems.
133
// Many bugs hopefully squashed.
134
//
135 37 gorban
// Revision 1.16  2001/11/02 09:55:16  mohor
136
// no message
137
//
138 36 mohor
// Revision 1.15  2001/10/31 15:19:22  gorban
139
// Fixes to break and timeout conditions
140
//
141 35 gorban
// Revision 1.14  2001/10/29 17:00:46  gorban
142
// fixed parity sending and tx_fifo resets over- and underrun
143
//
144 34 gorban
// Revision 1.13  2001/10/20 09:58:40  gorban
145
// Small synopsis fixes
146
//
147 33 gorban
// Revision 1.12  2001/10/19 16:21:40  gorban
148
// Changes data_out to be synchronous again as it should have been.
149
//
150 32 gorban
// Revision 1.11  2001/10/18 20:35:45  gorban
151
// small fix
152
//
153 31 gorban
// Revision 1.10  2001/08/24 21:01:12  mohor
154
// Things connected to parity changed.
155
// Clock devider changed.
156
//
157 29 mohor
// Revision 1.9  2001/08/23 16:05:05  mohor
158
// Stop bit bug fixed.
159
// Parity bug fixed.
160
// WISHBONE read cycle bug fixed,
161
// OE indicator (Overrun Error) bug fixed.
162
// PE indicator (Parity Error) bug fixed.
163
// Register read bug fixed.
164
//
165 27 mohor
// Revision 1.10  2001/06/23 11:21:48  gorban
166
// DL made 16-bit long. Fixed transmission/reception bugs.
167
//
168
// Revision 1.9  2001/05/31 20:08:01  gorban
169
// FIFO changes and other corrections.
170
//
171
// Revision 1.8  2001/05/29 20:05:04  gorban
172
// Fixed some bugs and synthesis problems.
173
//
174
// Revision 1.7  2001/05/27 17:37:49  gorban
175
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
176
//
177
// Revision 1.6  2001/05/21 19:12:02  gorban
178
// Corrected some Linter messages.
179
//
180
// Revision 1.5  2001/05/17 18:34:18  gorban
181
// First 'stable' release. Should be sythesizable now. Also added new header.
182
//
183
// Revision 1.0  2001-05-17 21:27:11+02  jacob
184
// Initial revision
185
//
186
//
187
 
188 33 gorban
// synopsys translate_off
189 27 mohor
`include "timescale.v"
190 33 gorban
// synopsys translate_on
191
 
192 27 mohor
`include "uart_defines.v"
193
 
194
`define UART_DL1 7:0
195
`define UART_DL2 15:8
196
 
197
module uart_regs (clk,
198
        wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i,
199
 
200
// additional signals
201
        modem_inputs,
202
        stx_pad_o, srx_pad_i,
203 48 gorban
 
204
`ifdef DATA_BUS_WIDTH_8
205
`else
206
// debug interface signals      enabled
207
ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate,
208
`endif
209 27 mohor
        rts_pad_o, dtr_pad_o, int_o
210
        );
211
 
212 37 gorban
input                                                                   clk;
213
input                                                                   wb_rst_i;
214
input [`UART_ADDR_WIDTH-1:0]             wb_addr_i;
215
input [7:0]                                                      wb_dat_i;
216
output [7:0]                                                     wb_dat_o;
217
input                                                                   wb_we_i;
218
input                                                                   wb_re_i;
219 27 mohor
 
220 37 gorban
output                                                                  stx_pad_o;
221
input                                                                   srx_pad_i;
222 27 mohor
 
223 37 gorban
input [3:0]                                                      modem_inputs;
224
output                                                                  rts_pad_o;
225
output                                                                  dtr_pad_o;
226
output                                                                  int_o;
227 27 mohor
 
228 48 gorban
`ifdef DATA_BUS_WIDTH_8
229
`else
230
// if 32-bit databus and debug interface are enabled
231
output [3:0]                                                     ier;
232
output [3:0]                                                     iir;
233
output [1:0]                                                     fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
234
output [4:0]                                                     mcr;
235
output [7:0]                                                     lcr;
236
output [7:0]                                                     msr;
237
output [7:0]                                                     lsr;
238
output [`UART_FIFO_COUNTER_W-1:0]        rf_count;
239
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
240
output [2:0]                                                     tstate;
241
output [3:0]                                                     rstate;
242
 
243
`endif
244
 
245 37 gorban
wire [3:0]                                                               modem_inputs;
246
reg                                                                             enable;
247
wire                                                                            stx_pad_o;              // received from transmitter module
248
wire                                                                            srx_pad_i;
249 27 mohor
 
250 37 gorban
reg [7:0]                                                                wb_dat_o;
251 27 mohor
 
252 37 gorban
wire [`UART_ADDR_WIDTH-1:0]              wb_addr_i;
253
wire [7:0]                                                               wb_dat_i;
254 27 mohor
 
255
 
256 37 gorban
reg [3:0]                                                                ier;
257
reg [3:0]                                                                iir;
258
reg [1:0]                                                                fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
259
reg [4:0]                                                                mcr;
260
reg [7:0]                                                                lcr;
261
reg [7:0]                                                                msr;
262
reg [15:0]                                                               dl;  // 32-bit divisor latch
263 52 gorban
reg [7:0]                                                                scratch; // UART scratch register
264 37 gorban
reg                                                                             start_dlc; // activate dlc on writing to UART_DL1
265
reg                                                                             lsr_mask_d; // delay for lsr_mask condition
266
reg                                                                             msi_reset; // reset MSR 4 lower bits indicator
267 40 gorban
//reg                                                                           threi_clear; // THRE interrupt clear flag
268 37 gorban
reg [15:0]                                                               dlc;  // 32-bit divisor latch counter
269
reg                                                                             int_o;
270 27 mohor
 
271 37 gorban
reg [3:0]                                                                trigger_level; // trigger level of the receiver FIFO
272
reg                                                                             rx_reset;
273
reg                                                                             tx_reset;
274 27 mohor
 
275 37 gorban
wire                                                                            dlab;                      // divisor latch access bit
276
wire                                                                            cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits
277
wire                                                                            loopback;                  // loopback bit (MCR bit 4)
278 60 mohor
wire                                                                            cts, dsr, ri, dcd;         // effective signals
279 59 mohor
wire                    cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback)
280 37 gorban
wire                                                                            rts_pad_o, dtr_pad_o;              // modem control outputs
281 27 mohor
 
282 37 gorban
// LSR bits wires and regs
283
wire [7:0]                                                               lsr;
284
wire                                                                            lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7;
285
reg                                                                             lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r;
286
wire                                                                            lsr_mask; // lsr_mask
287
 
288 27 mohor
//
289
// ASSINGS
290
//
291
 
292 37 gorban
assign                                                                  lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r };
293 27 mohor
 
294 37 gorban
assign                                                                  {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs;
295 60 mohor
assign                                                                  {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
296 37 gorban
 
297 59 mohor
assign                  {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
298 60 mohor
                                                               : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
299 59 mohor
 
300 37 gorban
assign                                                                  dlab = lcr[`UART_LC_DL];
301
assign                                                                  loopback = mcr[4];
302
 
303 27 mohor
// assign modem outputs
304 37 gorban
assign                                                                  rts_pad_o = mcr[`UART_MC_RTS];
305
assign                                                                  dtr_pad_o = mcr[`UART_MC_DTR];
306 27 mohor
 
307
// Interrupt signals
308 37 gorban
wire                                                                            rls_int;  // receiver line status interrupt
309
wire                                                                            rda_int;  // receiver data available interrupt
310
wire                                                                            ti_int;   // timeout indicator interrupt
311
wire                                                                            thre_int; // transmitter holding register empty interrupt
312
wire                                                                            ms_int;   // modem status interrupt
313 27 mohor
 
314
// FIFO signals
315 37 gorban
reg                                                                             tf_push;
316
reg                                                                             rf_pop;
317
wire [`UART_FIFO_REC_WIDTH-1:0]  rf_data_out;
318
wire                                                                            rf_error_bit; // an error (parity or framing) is inside the fifo
319
wire [`UART_FIFO_COUNTER_W-1:0]  rf_count;
320
wire [`UART_FIFO_COUNTER_W-1:0]  tf_count;
321 48 gorban
wire [2:0]                                                               tstate;
322
wire [3:0]                                                               rstate;
323 37 gorban
wire [9:0]                                                               counter_t;
324 27 mohor
 
325 60 mohor
wire                      thre_set_en; // THRE status is delayed one character time when a character is written to fifo.
326
reg  [7:0]                block_cnt;   // While counter counts, THRE status is blocked (delayed one character cycle)
327
reg  [7:0]                block_value; // One character length minus stop bit
328 37 gorban
 
329 27 mohor
// Transmitter Instance
330 48 gorban
uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask);
331 27 mohor
 
332
// Receiver Instance
333 64 mohor
uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable,
334 50 gorban
        counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push);
335 27 mohor
 
336 32 gorban
 
337 48 gorban
// Asynchronous reading here because the outputs are sampled in uart_wb.v file 
338 52 gorban
always @(dl or dlab or ier or iir or scratch
339 48 gorban
                        or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i)   // asynchrounous reading
340 27 mohor
begin
341 52 gorban
        case (wb_addr_i)
342
                `UART_REG_RB   : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3];
343
                `UART_REG_IE    : wb_dat_o = dlab ? dl[`UART_DL2] : ier;
344
                `UART_REG_II    : wb_dat_o = {4'b1100,iir};
345
                `UART_REG_LC    : wb_dat_o = lcr;
346
                `UART_REG_LS    : wb_dat_o = lsr;
347
                `UART_REG_MS    : wb_dat_o = msr;
348
                `UART_REG_SR    : wb_dat_o = scratch;
349
                default:  wb_dat_o = 8'b0; // ??
350
        endcase // case(wb_addr_i)
351
end // always @ (dl or dlab or ier or iir or scratch...
352 27 mohor
 
353 52 gorban
 
354 27 mohor
// rf_pop signal handling
355
always @(posedge clk or posedge wb_rst_i)
356
begin
357
        if (wb_rst_i)
358
                rf_pop <= #1 0;
359
        else
360
        if (rf_pop)     // restore the signal to 0 after one clock cycle
361
                rf_pop <= #1 0;
362
        else
363
        if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab)
364
                rf_pop <= #1 1; // advance read pointer
365
end
366
 
367 37 gorban
wire    lsr_mask_condition;
368
wire    iir_read;
369
wire  msr_read;
370
wire    fifo_read;
371 45 gorban
wire    fifo_write;
372 37 gorban
 
373
assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab);
374
assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab);
375
assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab);
376
assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab);
377 45 gorban
assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab);
378 37 gorban
 
379
// lsr_mask_d delayed signal handling
380 27 mohor
always @(posedge clk or posedge wb_rst_i)
381
begin
382
        if (wb_rst_i)
383 37 gorban
                lsr_mask_d <= #1 0;
384
        else // reset bits in the Line Status Register
385
                lsr_mask_d <= #1 lsr_mask_condition;
386 27 mohor
end
387
 
388 37 gorban
// lsr_mask is rise detected
389
assign lsr_mask = lsr_mask_condition && ~lsr_mask_d;
390 27 mohor
 
391
// msi_reset signal handling
392
always @(posedge clk or posedge wb_rst_i)
393
begin
394
        if (wb_rst_i)
395 58 mohor
                msi_reset <= #1 1;
396 27 mohor
        else
397
        if (msi_reset)
398
                msi_reset <= #1 0;
399
        else
400 47 gorban
        if (msr_read)
401 27 mohor
                msi_reset <= #1 1; // reset bits in Modem Status Register
402
end
403
 
404
 
405
//
406
//   WRITES AND RESETS   //
407
//
408
// Line Control Register
409
always @(posedge clk or posedge wb_rst_i)
410
        if (wb_rst_i)
411
                lcr <= #1 8'b00000011; // 8n1 setting
412
        else
413
        if (wb_we_i && wb_addr_i==`UART_REG_LC)
414
                lcr <= #1 wb_dat_i;
415
 
416
// Interrupt Enable Register or UART_DL2
417
always @(posedge clk or posedge wb_rst_i)
418
        if (wb_rst_i)
419
        begin
420
                ier <= #1 4'b0000; // no interrupts after reset
421
                dl[`UART_DL2] <= #1 8'b0;
422
        end
423
        else
424
        if (wb_we_i && wb_addr_i==`UART_REG_IE)
425
                if (dlab)
426
                begin
427
                        dl[`UART_DL2] <= #1 wb_dat_i;
428
                end
429
                else
430
                        ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb
431
 
432
 
433
// FIFO Control Register and rx_reset, tx_reset signals
434
always @(posedge clk or posedge wb_rst_i)
435
        if (wb_rst_i) begin
436
                fcr <= #1 2'b11;
437
                rx_reset <= #1 0;
438
                tx_reset <= #1 0;
439
        end else
440
        if (wb_we_i && wb_addr_i==`UART_REG_FC) begin
441
                fcr <= #1 wb_dat_i[7:6];
442
                rx_reset <= #1 wb_dat_i[1];
443
                tx_reset <= #1 wb_dat_i[2];
444 37 gorban
        end else begin
445 27 mohor
                rx_reset <= #1 0;
446
                tx_reset <= #1 0;
447
        end
448
 
449
// Modem Control Register
450
always @(posedge clk or posedge wb_rst_i)
451
        if (wb_rst_i)
452
                mcr <= #1 5'b0;
453
        else
454
        if (wb_we_i && wb_addr_i==`UART_REG_MC)
455
                        mcr <= #1 wb_dat_i[4:0];
456
 
457 52 gorban
// Scratch register
458
// Line Control Register
459
always @(posedge clk or posedge wb_rst_i)
460
        if (wb_rst_i)
461
                scratch <= #1 0; // 8n1 setting
462
        else
463
        if (wb_we_i && wb_addr_i==`UART_REG_SR)
464
                scratch <= #1 wb_dat_i;
465
 
466 27 mohor
// TX_FIFO or UART_DL1
467
always @(posedge clk or posedge wb_rst_i)
468
        if (wb_rst_i)
469
        begin
470
                dl[`UART_DL1]  <= #1 8'b0;
471
                tf_push   <= #1 1'b0;
472
                start_dlc <= #1 1'b0;
473
        end
474
        else
475
        if (wb_we_i && wb_addr_i==`UART_REG_TR)
476
                if (dlab)
477
                begin
478
                        dl[`UART_DL1] <= #1 wb_dat_i;
479
                        start_dlc <= #1 1'b1; // enable DL counter
480
                        tf_push <= #1 1'b0;
481
                end
482
                else
483
                begin
484
                        tf_push   <= #1 1'b1;
485
                        start_dlc <= #1 1'b0;
486 37 gorban
                end // else: !if(dlab)
487 27 mohor
        else
488
        begin
489
                start_dlc <= #1 1'b0;
490
                tf_push   <= #1 1'b0;
491 37 gorban
        end // else: !if(dlab)
492 27 mohor
 
493
// Receiver FIFO trigger level selection logic (asynchronous mux)
494 31 gorban
always @(fcr)
495 27 mohor
        case (fcr[`UART_FC_TL])
496
                2'b00 : trigger_level = 1;
497
                2'b01 : trigger_level = 4;
498
                2'b10 : trigger_level = 8;
499
                2'b11 : trigger_level = 14;
500 37 gorban
        endcase // case(fcr[`UART_FC_TL])
501 27 mohor
 
502
//
503
//  STATUS REGISTERS  //
504
//
505
 
506
// Modem Status Register
507 59 mohor
reg [3:0] delayed_modem_signals;
508 27 mohor
always @(posedge clk or posedge wb_rst_i)
509
begin
510
        if (wb_rst_i)
511 64 mohor
          begin
512
                msr <= #1 0;
513
                delayed_modem_signals[3:0] <= #1 0;
514
          end
515 27 mohor
        else begin
516
                msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 :
517 59 mohor
                        msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]);
518
                msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd_c, ri_c, dsr_c, cts_c};
519
                delayed_modem_signals[3:0] <= #1 {dcd, ri, dsr, cts};
520 27 mohor
        end
521
end
522
 
523 58 mohor
 
524 27 mohor
// Line Status Register
525 37 gorban
 
526
// activation conditions
527 50 gorban
assign lsr0 = (rf_count==0 && rf_push);  // data in receiver fifo available set condition
528 37 gorban
assign lsr1 = rf_overrun;     // Receiver overrun error
529
assign lsr2 = rf_data_out[1]; // parity error bit
530
assign lsr3 = rf_data_out[0]; // framing error bit
531 45 gorban
assign lsr4 = rf_data_out[2]; // break error in the character
532 60 mohor
assign lsr5 = (tf_count==5'b0 && thre_set_en);  // transmitter fifo is empty
533
assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty
534 37 gorban
assign lsr7 = rf_error_bit;
535
 
536
// lsr bit0 (receiver data available)
537 45 gorban
reg      lsr0_d;
538
 
539 27 mohor
always @(posedge clk or posedge wb_rst_i)
540 45 gorban
        if (wb_rst_i) lsr0_d <= #1 0;
541
        else lsr0_d <= #1 lsr0;
542
 
543
always @(posedge clk or posedge wb_rst_i)
544 37 gorban
        if (wb_rst_i) lsr0r <= #1 0;
545 54 mohor
        else lsr0r <= #1 (rf_count==1 && fifo_read || rx_reset) ? 0 : // deassert condition
546 45 gorban
                                          lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted 
547 27 mohor
 
548 37 gorban
// lsr bit 1 (receiver overrun)
549
reg lsr1_d; // delayed
550 29 mohor
 
551 37 gorban
always @(posedge clk or posedge wb_rst_i)
552
        if (wb_rst_i) lsr1_d <= #1 0;
553
        else lsr1_d <= #1 lsr1;
554
 
555
always @(posedge clk or posedge wb_rst_i)
556 43 mohor
        if (wb_rst_i) lsr1r <= #1 0;
557 45 gorban
        else    lsr1r <= #1     lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise
558 37 gorban
 
559
// lsr bit 2 (parity error)
560
reg lsr2_d; // delayed
561
 
562
always @(posedge clk or posedge wb_rst_i)
563
        if (wb_rst_i) lsr2_d <= #1 0;
564
        else lsr2_d <= #1 lsr2;
565
 
566
always @(posedge clk or posedge wb_rst_i)
567 44 gorban
        if (wb_rst_i) lsr2r <= #1 0;
568 45 gorban
        else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise
569 37 gorban
 
570
// lsr bit 3 (framing error)
571
reg lsr3_d; // delayed
572
 
573
always @(posedge clk or posedge wb_rst_i)
574
        if (wb_rst_i) lsr3_d <= #1 0;
575
        else lsr3_d <= #1 lsr3;
576
 
577
always @(posedge clk or posedge wb_rst_i)
578 44 gorban
        if (wb_rst_i) lsr3r <= #1 0;
579 45 gorban
        else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise
580 37 gorban
 
581
// lsr bit 4 (break indicator)
582
reg lsr4_d; // delayed
583
 
584
always @(posedge clk or posedge wb_rst_i)
585
        if (wb_rst_i) lsr4_d <= #1 0;
586
        else lsr4_d <= #1 lsr4;
587
 
588
always @(posedge clk or posedge wb_rst_i)
589 44 gorban
        if (wb_rst_i) lsr4r <= #1 0;
590 45 gorban
        else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d);
591 37 gorban
 
592
// lsr bit 5 (transmitter fifo is empty)
593
reg lsr5_d;
594
 
595
always @(posedge clk or posedge wb_rst_i)
596 45 gorban
        if (wb_rst_i) lsr5_d <= #1 1;
597 37 gorban
        else lsr5_d <= #1 lsr5;
598
 
599
always @(posedge clk or posedge wb_rst_i)
600 45 gorban
        if (wb_rst_i) lsr5r <= #1 1;
601 50 gorban
        else lsr5r <= #1 (fifo_write) ? 0 :  lsr5r || (lsr5 && ~lsr5_d);
602 37 gorban
 
603
// lsr bit 6 (transmitter empty indicator)
604
reg lsr6_d;
605
 
606
always @(posedge clk or posedge wb_rst_i)
607 45 gorban
        if (wb_rst_i) lsr6_d <= #1 1;
608 37 gorban
        else lsr6_d <= #1 lsr6;
609
 
610
always @(posedge clk or posedge wb_rst_i)
611 45 gorban
        if (wb_rst_i) lsr6r <= #1 1;
612 50 gorban
        else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d);
613 37 gorban
 
614
// lsr bit 7 (error in fifo)
615
reg lsr7_d;
616
 
617
always @(posedge clk or posedge wb_rst_i)
618
        if (wb_rst_i) lsr7_d <= #1 0;
619
        else lsr7_d <= #1 lsr7;
620
 
621
always @(posedge clk or posedge wb_rst_i)
622 44 gorban
        if (wb_rst_i) lsr7r <= #1 0;
623 45 gorban
        else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d);
624 37 gorban
 
625 29 mohor
// Frequency divider
626 37 gorban
always @(posedge clk or posedge wb_rst_i)
627 29 mohor
begin
628
        if (wb_rst_i)
629
                dlc <= #1 0;
630
        else
631 37 gorban
                if (start_dlc | ~ (|dlc))
632
                        dlc <= #1 dl - 1;               // preset counter
633
                else
634
                        dlc <= #1 dlc - 1;              // decrement counter
635 29 mohor
end
636
 
637 27 mohor
// Enable signal generation logic
638
always @(posedge clk or posedge wb_rst_i)
639
begin
640
        if (wb_rst_i)
641
                enable <= #1 1'b0;
642
        else
643 37 gorban
                if (|dl & ~(|dlc))     // dl>0 & dlc==0
644
                        enable <= #1 1'b1;
645
                else
646
                        enable <= #1 1'b0;
647 27 mohor
end
648
 
649 60 mohor
// Delaying THRE status for one character cycle after a character is written to an empty fifo.
650
always @(lcr)
651
  case (lcr[3:0])
652
    4'b0000                             : block_value =  95; // 6 bits
653
    4'b0100                             : block_value = 103; // 6.5 bits
654
    4'b0001, 4'b1000                    : block_value = 111; // 7 bits
655
    4'b1100                             : block_value = 119; // 7.5 bits
656
    4'b0010, 4'b0101, 4'b1001           : block_value = 127; // 8 bits
657
    4'b0011, 4'b0110, 4'b1010, 4'b1101  : block_value = 143; // 9 bits
658
    4'b0111, 4'b1011, 4'b1110           : block_value = 159; // 10 bits
659
    4'b1111                             : block_value = 175; // 11 bits
660
  endcase // case(lcr[3:0])
661
 
662
// Counting time of one character minus stop bit
663
always @(posedge clk or posedge wb_rst_i)
664
begin
665
  if (wb_rst_i)
666
    block_cnt <= #1 8'd0;
667
  else
668
  if(lsr5r & fifo_write)  // THRE bit set & write to fifo occured
669
    block_cnt <= #1 block_value;
670
  else
671
  if (enable & block_cnt != 8'b0)  // only work on enable times
672
    block_cnt <= #1 block_cnt - 1;  // decrement break counter
673
end // always of break condition detection
674
 
675
// Generating THRE status enable signal
676
assign thre_set_en = ~(|block_cnt);
677
 
678
 
679 37 gorban
//
680
//      INTERRUPT LOGIC
681
//
682 29 mohor
 
683 37 gorban
assign rls_int  = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]);
684
assign rda_int  = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level});
685 40 gorban
assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE];
686 37 gorban
assign ms_int   = ier[`UART_IE_MS] && (| msr[3:0]);
687
assign ti_int   = ier[`UART_IE_RDA] && (counter_t == 10'b0);
688 29 mohor
 
689 37 gorban
reg      rls_int_d;
690
reg      thre_int_d;
691
reg      ms_int_d;
692
reg      ti_int_d;
693 45 gorban
reg      rda_int_d;
694 29 mohor
 
695 37 gorban
// delay lines
696
always  @(posedge clk or posedge wb_rst_i)
697
        if (wb_rst_i) rls_int_d <= #1 0;
698
        else rls_int_d <= #1 rls_int;
699 29 mohor
 
700 37 gorban
always  @(posedge clk or posedge wb_rst_i)
701 45 gorban
        if (wb_rst_i) rda_int_d <= #1 0;
702
        else rda_int_d <= #1 rda_int;
703
 
704
always  @(posedge clk or posedge wb_rst_i)
705 37 gorban
        if (wb_rst_i) thre_int_d <= #1 0;
706
        else thre_int_d <= #1 thre_int;
707 29 mohor
 
708 37 gorban
always  @(posedge clk or posedge wb_rst_i)
709
        if (wb_rst_i) ms_int_d <= #1 0;
710
        else ms_int_d <= #1 ms_int;
711 29 mohor
 
712 37 gorban
always  @(posedge clk or posedge wb_rst_i)
713 41 mohor
        if (wb_rst_i) ti_int_d <= #1 0;
714 37 gorban
        else ti_int_d <= #1 ti_int;
715 27 mohor
 
716 37 gorban
// rise detection signals
717
 
718
wire     rls_int_rise;
719
wire     thre_int_rise;
720
wire     ms_int_rise;
721
wire     ti_int_rise;
722 45 gorban
wire     rda_int_rise;
723 37 gorban
 
724 45 gorban
assign rda_int_rise    = rda_int & ~rda_int_d;
725 37 gorban
assign rls_int_rise       = rls_int & ~rls_int_d;
726
assign thre_int_rise   = thre_int & ~thre_int_d;
727
assign ms_int_rise        = ms_int & ~ms_int_d;
728
assign ti_int_rise        = ti_int & ~ti_int_d;
729
 
730
// interrupt pending flags
731
reg     rls_int_pnd;
732 45 gorban
reg     rda_int_pnd;
733 37 gorban
reg     thre_int_pnd;
734
reg     ms_int_pnd;
735
reg     ti_int_pnd;
736
 
737
// interrupt pending flags assignments
738
always  @(posedge clk or posedge wb_rst_i)
739
        if (wb_rst_i) rls_int_pnd <= #1 0;
740
        else
741
                rls_int_pnd <= #1 lsr_mask ? 0 :                                                 // reset condition
742
                                                        rls_int_rise ? 1 :                                              // latch condition
743
                                                        rls_int_pnd && ier[`UART_IE_RLS];       // default operation: remove if masked
744
 
745
always  @(posedge clk or posedge wb_rst_i)
746 45 gorban
        if (wb_rst_i) rda_int_pnd <= #1 0;
747
        else
748
                rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 :        // reset condition
749
                                                        rda_int_rise ? 1 :                                              // latch condition
750
                                                        rda_int_pnd && ier[`UART_IE_RDA];       // default operation: remove if masked
751
 
752
always  @(posedge clk or posedge wb_rst_i)
753 37 gorban
        if (wb_rst_i) thre_int_pnd <= #1 0;
754
        else
755 56 mohor
                thre_int_pnd <= #1 fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 0 :
756 37 gorban
                                                        thre_int_rise ? 1 :
757
                                                        thre_int_pnd && ier[`UART_IE_THRE];
758
 
759
always  @(posedge clk or posedge wb_rst_i)
760
        if (wb_rst_i) ms_int_pnd <= #1 0;
761
        else
762
                ms_int_pnd <= #1 msr_read ? 0 :
763
                                                        ms_int_rise ? 1 :
764
                                                        ms_int_pnd && ier[`UART_IE_MS];
765
 
766
always  @(posedge clk or posedge wb_rst_i)
767 42 mohor
        if (wb_rst_i) ti_int_pnd <= #1 0;
768 37 gorban
        else
769
                ti_int_pnd <= #1 fifo_read ? 0 :
770
                                                        ti_int_rise ? 1 :
771
                                                        ti_int_pnd && ier[`UART_IE_RDA];
772
// end of pending flags
773
 
774
// INT_O logic
775 27 mohor
always @(posedge clk or posedge wb_rst_i)
776
begin
777
        if (wb_rst_i)
778
                int_o <= #1 1'b0;
779
        else
780 37 gorban
                int_o <= #1
781
                                        rls_int_pnd             ?       ~lsr_mask                                       :
782 45 gorban
                                        rda_int_pnd             ? 1                                                             :
783 37 gorban
                                        ti_int_pnd              ? ~fifo_read                                    :
784 45 gorban
                                        thre_int_pnd    ? !(fifo_write & iir_read) :
785 37 gorban
                                        ms_int_pnd              ? ~msr_read                                             :
786
                                        0;       // if no interrupt are pending
787 27 mohor
end
788
 
789
 
790
// Interrupt Identification register
791
always @(posedge clk or posedge wb_rst_i)
792
begin
793
        if (wb_rst_i)
794
                iir <= #1 1;
795
        else
796 37 gorban
        if (rls_int_pnd)  // interrupt is pending
797 27 mohor
        begin
798
                iir[`UART_II_II] <= #1 `UART_II_RLS;    // set identification register to correct value
799
                iir[`UART_II_IP] <= #1 1'b0;            // and clear the IIR bit 0 (interrupt pending)
800 37 gorban
        end else // the sequence of conditions determines priority of interrupt identification
801 27 mohor
        if (rda_int)
802
        begin
803
                iir[`UART_II_II] <= #1 `UART_II_RDA;
804
                iir[`UART_II_IP] <= #1 1'b0;
805
        end
806 37 gorban
        else if (ti_int_pnd)
807 27 mohor
        begin
808
                iir[`UART_II_II] <= #1 `UART_II_TI;
809
                iir[`UART_II_IP] <= #1 1'b0;
810
        end
811 37 gorban
        else if (thre_int_pnd)
812 27 mohor
        begin
813
                iir[`UART_II_II] <= #1 `UART_II_THRE;
814
                iir[`UART_II_IP] <= #1 1'b0;
815
        end
816 37 gorban
        else if (ms_int_pnd)
817 27 mohor
        begin
818
                iir[`UART_II_II] <= #1 `UART_II_MS;
819
                iir[`UART_II_IP] <= #1 1'b0;
820 37 gorban
        end else        // no interrupt is pending
821 27 mohor
        begin
822 40 gorban
                iir[`UART_II_II] <= #1 0;
823 27 mohor
                iir[`UART_II_IP] <= #1 1'b1;
824
        end
825
end
826
 
827
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.