OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Blame information for rev 66

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_regs.v                                                 ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  Registers of the uart 16550 core                            ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  Inserts 1 wait state in all WISHBONE transfers              ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Nothing or verification.                                    ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   (See log for the revision history           ////
33
////                                                              ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
38 27 mohor
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 66 mohor
// Revision 1.35  2001/12/19 08:03:34  mohor
66
// Warnings cleared.
67
//
68 64 mohor
// Revision 1.34  2001/12/19 07:33:54  mohor
69
// Synplicity was having troubles with the comment.
70
//
71 63 mohor
// Revision 1.33  2001/12/17 10:14:43  mohor
72
// Things related to msr register changed. After THRE IRQ occurs, and one
73
// character is written to the transmit fifo, the detection of the THRE bit in the
74
// LSR is delayed for one character time.
75
//
76 60 mohor
// Revision 1.32  2001/12/14 13:19:24  mohor
77
// MSR register fixed.
78
//
79 59 mohor
// Revision 1.31  2001/12/14 10:06:58  mohor
80
// After reset modem status register MSR should be reset.
81
//
82 58 mohor
// Revision 1.30  2001/12/13 10:09:13  mohor
83
// thre irq should be cleared only when being source of interrupt.
84
//
85 56 mohor
// Revision 1.29  2001/12/12 09:05:46  mohor
86
// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo).
87
//
88 54 mohor
// Revision 1.28  2001/12/10 19:52:41  gorban
89
// Scratch register added
90
//
91 52 gorban
// Revision 1.27  2001/12/06 14:51:04  gorban
92
// Bug in LSR[0] is fixed.
93
// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.
94
//
95 50 gorban
// Revision 1.26  2001/12/03 21:44:29  gorban
96
// Updated specification documentation.
97
// Added full 32-bit data bus interface, now as default.
98
// Address is 5-bit wide in 32-bit data bus mode.
99
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
100
// Added debug interface with two 32-bit read-only registers in 32-bit mode.
101
// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.
102
// My small test bench is modified to work with 32-bit mode.
103
//
104 48 gorban
// Revision 1.25  2001/11/28 19:36:39  gorban
105
// Fixed: timeout and break didn't pay attention to current data format when counting time
106
//
107 47 gorban
// Revision 1.24  2001/11/26 21:38:54  gorban
108
// Lots of fixes:
109
// Break condition wasn't handled correctly at all.
110
// LSR bits could lose their values.
111
// LSR value after reset was wrong.
112
// Timing of THRE interrupt signal corrected.
113
// LSR bit 0 timing corrected.
114
//
115 45 gorban
// Revision 1.23  2001/11/12 21:57:29  gorban
116
// fixed more typo bugs
117
//
118 44 gorban
// Revision 1.22  2001/11/12 15:02:28  mohor
119
// lsr1r error fixed.
120
//
121 43 mohor
// Revision 1.21  2001/11/12 14:57:27  mohor
122
// ti_int_pnd error fixed.
123
//
124 42 mohor
// Revision 1.20  2001/11/12 14:50:27  mohor
125
// ti_int_d error fixed.
126
//
127 41 mohor
// Revision 1.19  2001/11/10 12:43:21  gorban
128 63 mohor
// Logic Synthesis bugs fixed. Some other minor changes
129 41 mohor
//
130 40 gorban
// Revision 1.18  2001/11/08 14:54:23  mohor
131
// Comments in Slovene language deleted, few small fixes for better work of
132
// old tools. IRQs need to be fix.
133
//
134 39 mohor
// Revision 1.17  2001/11/07 17:51:52  gorban
135
// Heavily rewritten interrupt and LSR subsystems.
136
// Many bugs hopefully squashed.
137
//
138 37 gorban
// Revision 1.16  2001/11/02 09:55:16  mohor
139
// no message
140
//
141 36 mohor
// Revision 1.15  2001/10/31 15:19:22  gorban
142
// Fixes to break and timeout conditions
143
//
144 35 gorban
// Revision 1.14  2001/10/29 17:00:46  gorban
145
// fixed parity sending and tx_fifo resets over- and underrun
146
//
147 34 gorban
// Revision 1.13  2001/10/20 09:58:40  gorban
148
// Small synopsis fixes
149
//
150 33 gorban
// Revision 1.12  2001/10/19 16:21:40  gorban
151
// Changes data_out to be synchronous again as it should have been.
152
//
153 32 gorban
// Revision 1.11  2001/10/18 20:35:45  gorban
154
// small fix
155
//
156 31 gorban
// Revision 1.10  2001/08/24 21:01:12  mohor
157
// Things connected to parity changed.
158
// Clock devider changed.
159
//
160 29 mohor
// Revision 1.9  2001/08/23 16:05:05  mohor
161
// Stop bit bug fixed.
162
// Parity bug fixed.
163
// WISHBONE read cycle bug fixed,
164
// OE indicator (Overrun Error) bug fixed.
165
// PE indicator (Parity Error) bug fixed.
166
// Register read bug fixed.
167
//
168 27 mohor
// Revision 1.10  2001/06/23 11:21:48  gorban
169
// DL made 16-bit long. Fixed transmission/reception bugs.
170
//
171
// Revision 1.9  2001/05/31 20:08:01  gorban
172
// FIFO changes and other corrections.
173
//
174
// Revision 1.8  2001/05/29 20:05:04  gorban
175
// Fixed some bugs and synthesis problems.
176
//
177
// Revision 1.7  2001/05/27 17:37:49  gorban
178
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
179
//
180
// Revision 1.6  2001/05/21 19:12:02  gorban
181
// Corrected some Linter messages.
182
//
183
// Revision 1.5  2001/05/17 18:34:18  gorban
184
// First 'stable' release. Should be sythesizable now. Also added new header.
185
//
186
// Revision 1.0  2001-05-17 21:27:11+02  jacob
187
// Initial revision
188
//
189
//
190
 
191 33 gorban
// synopsys translate_off
192 27 mohor
`include "timescale.v"
193 33 gorban
// synopsys translate_on
194
 
195 27 mohor
`include "uart_defines.v"
196
 
197
`define UART_DL1 7:0
198
`define UART_DL2 15:8
199
 
200
module uart_regs (clk,
201
        wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i,
202
 
203
// additional signals
204
        modem_inputs,
205
        stx_pad_o, srx_pad_i,
206 48 gorban
 
207
`ifdef DATA_BUS_WIDTH_8
208
`else
209
// debug interface signals      enabled
210
ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate,
211
`endif
212 27 mohor
        rts_pad_o, dtr_pad_o, int_o
213
        );
214
 
215 37 gorban
input                                                                   clk;
216
input                                                                   wb_rst_i;
217
input [`UART_ADDR_WIDTH-1:0]             wb_addr_i;
218
input [7:0]                                                      wb_dat_i;
219
output [7:0]                                                     wb_dat_o;
220
input                                                                   wb_we_i;
221
input                                                                   wb_re_i;
222 27 mohor
 
223 37 gorban
output                                                                  stx_pad_o;
224
input                                                                   srx_pad_i;
225 27 mohor
 
226 37 gorban
input [3:0]                                                      modem_inputs;
227
output                                                                  rts_pad_o;
228
output                                                                  dtr_pad_o;
229
output                                                                  int_o;
230 27 mohor
 
231 48 gorban
`ifdef DATA_BUS_WIDTH_8
232
`else
233
// if 32-bit databus and debug interface are enabled
234
output [3:0]                                                     ier;
235
output [3:0]                                                     iir;
236
output [1:0]                                                     fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
237
output [4:0]                                                     mcr;
238
output [7:0]                                                     lcr;
239
output [7:0]                                                     msr;
240
output [7:0]                                                     lsr;
241
output [`UART_FIFO_COUNTER_W-1:0]        rf_count;
242
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
243
output [2:0]                                                     tstate;
244
output [3:0]                                                     rstate;
245
 
246
`endif
247
 
248 37 gorban
wire [3:0]                                                               modem_inputs;
249
reg                                                                             enable;
250
wire                                                                            stx_pad_o;              // received from transmitter module
251
wire                                                                            srx_pad_i;
252 27 mohor
 
253 37 gorban
reg [7:0]                                                                wb_dat_o;
254 27 mohor
 
255 37 gorban
wire [`UART_ADDR_WIDTH-1:0]              wb_addr_i;
256
wire [7:0]                                                               wb_dat_i;
257 27 mohor
 
258
 
259 37 gorban
reg [3:0]                                                                ier;
260
reg [3:0]                                                                iir;
261
reg [1:0]                                                                fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
262
reg [4:0]                                                                mcr;
263
reg [7:0]                                                                lcr;
264
reg [7:0]                                                                msr;
265
reg [15:0]                                                               dl;  // 32-bit divisor latch
266 52 gorban
reg [7:0]                                                                scratch; // UART scratch register
267 37 gorban
reg                                                                             start_dlc; // activate dlc on writing to UART_DL1
268
reg                                                                             lsr_mask_d; // delay for lsr_mask condition
269
reg                                                                             msi_reset; // reset MSR 4 lower bits indicator
270 40 gorban
//reg                                                                           threi_clear; // THRE interrupt clear flag
271 37 gorban
reg [15:0]                                                               dlc;  // 32-bit divisor latch counter
272
reg                                                                             int_o;
273 27 mohor
 
274 37 gorban
reg [3:0]                                                                trigger_level; // trigger level of the receiver FIFO
275
reg                                                                             rx_reset;
276
reg                                                                             tx_reset;
277 27 mohor
 
278 37 gorban
wire                                                                            dlab;                      // divisor latch access bit
279
wire                                                                            cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits
280
wire                                                                            loopback;                  // loopback bit (MCR bit 4)
281 60 mohor
wire                                                                            cts, dsr, ri, dcd;         // effective signals
282 59 mohor
wire                    cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback)
283 37 gorban
wire                                                                            rts_pad_o, dtr_pad_o;              // modem control outputs
284 27 mohor
 
285 37 gorban
// LSR bits wires and regs
286
wire [7:0]                                                               lsr;
287
wire                                                                            lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7;
288
reg                                                                             lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r;
289
wire                                                                            lsr_mask; // lsr_mask
290
 
291 27 mohor
//
292
// ASSINGS
293
//
294
 
295 37 gorban
assign                                                                  lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r };
296 27 mohor
 
297 37 gorban
assign                                                                  {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs;
298 60 mohor
assign                                                                  {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
299 37 gorban
 
300 59 mohor
assign                  {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
301 60 mohor
                                                               : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
302 59 mohor
 
303 37 gorban
assign                                                                  dlab = lcr[`UART_LC_DL];
304
assign                                                                  loopback = mcr[4];
305
 
306 27 mohor
// assign modem outputs
307 37 gorban
assign                                                                  rts_pad_o = mcr[`UART_MC_RTS];
308
assign                                                                  dtr_pad_o = mcr[`UART_MC_DTR];
309 27 mohor
 
310
// Interrupt signals
311 37 gorban
wire                                                                            rls_int;  // receiver line status interrupt
312
wire                                                                            rda_int;  // receiver data available interrupt
313
wire                                                                            ti_int;   // timeout indicator interrupt
314
wire                                                                            thre_int; // transmitter holding register empty interrupt
315
wire                                                                            ms_int;   // modem status interrupt
316 27 mohor
 
317
// FIFO signals
318 37 gorban
reg                                                                             tf_push;
319
reg                                                                             rf_pop;
320
wire [`UART_FIFO_REC_WIDTH-1:0]  rf_data_out;
321
wire                                                                            rf_error_bit; // an error (parity or framing) is inside the fifo
322
wire [`UART_FIFO_COUNTER_W-1:0]  rf_count;
323
wire [`UART_FIFO_COUNTER_W-1:0]  tf_count;
324 48 gorban
wire [2:0]                                                               tstate;
325
wire [3:0]                                                               rstate;
326 37 gorban
wire [9:0]                                                               counter_t;
327 27 mohor
 
328 60 mohor
wire                      thre_set_en; // THRE status is delayed one character time when a character is written to fifo.
329
reg  [7:0]                block_cnt;   // While counter counts, THRE status is blocked (delayed one character cycle)
330
reg  [7:0]                block_value; // One character length minus stop bit
331 37 gorban
 
332 27 mohor
// Transmitter Instance
333 48 gorban
uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask);
334 27 mohor
 
335
// Receiver Instance
336 64 mohor
uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable,
337 66 mohor
        counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse);
338 27 mohor
 
339 32 gorban
 
340 48 gorban
// Asynchronous reading here because the outputs are sampled in uart_wb.v file 
341 52 gorban
always @(dl or dlab or ier or iir or scratch
342 48 gorban
                        or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i)   // asynchrounous reading
343 27 mohor
begin
344 52 gorban
        case (wb_addr_i)
345
                `UART_REG_RB   : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3];
346
                `UART_REG_IE    : wb_dat_o = dlab ? dl[`UART_DL2] : ier;
347
                `UART_REG_II    : wb_dat_o = {4'b1100,iir};
348
                `UART_REG_LC    : wb_dat_o = lcr;
349
                `UART_REG_LS    : wb_dat_o = lsr;
350
                `UART_REG_MS    : wb_dat_o = msr;
351
                `UART_REG_SR    : wb_dat_o = scratch;
352
                default:  wb_dat_o = 8'b0; // ??
353
        endcase // case(wb_addr_i)
354
end // always @ (dl or dlab or ier or iir or scratch...
355 27 mohor
 
356 52 gorban
 
357 27 mohor
// rf_pop signal handling
358
always @(posedge clk or posedge wb_rst_i)
359
begin
360
        if (wb_rst_i)
361
                rf_pop <= #1 0;
362
        else
363
        if (rf_pop)     // restore the signal to 0 after one clock cycle
364
                rf_pop <= #1 0;
365
        else
366
        if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab)
367
                rf_pop <= #1 1; // advance read pointer
368
end
369
 
370 37 gorban
wire    lsr_mask_condition;
371
wire    iir_read;
372
wire  msr_read;
373
wire    fifo_read;
374 45 gorban
wire    fifo_write;
375 37 gorban
 
376
assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab);
377
assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab);
378
assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab);
379
assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab);
380 45 gorban
assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab);
381 37 gorban
 
382
// lsr_mask_d delayed signal handling
383 27 mohor
always @(posedge clk or posedge wb_rst_i)
384
begin
385
        if (wb_rst_i)
386 37 gorban
                lsr_mask_d <= #1 0;
387
        else // reset bits in the Line Status Register
388
                lsr_mask_d <= #1 lsr_mask_condition;
389 27 mohor
end
390
 
391 37 gorban
// lsr_mask is rise detected
392
assign lsr_mask = lsr_mask_condition && ~lsr_mask_d;
393 27 mohor
 
394
// msi_reset signal handling
395
always @(posedge clk or posedge wb_rst_i)
396
begin
397
        if (wb_rst_i)
398 58 mohor
                msi_reset <= #1 1;
399 27 mohor
        else
400
        if (msi_reset)
401
                msi_reset <= #1 0;
402
        else
403 47 gorban
        if (msr_read)
404 27 mohor
                msi_reset <= #1 1; // reset bits in Modem Status Register
405
end
406
 
407
 
408
//
409
//   WRITES AND RESETS   //
410
//
411
// Line Control Register
412
always @(posedge clk or posedge wb_rst_i)
413
        if (wb_rst_i)
414
                lcr <= #1 8'b00000011; // 8n1 setting
415
        else
416
        if (wb_we_i && wb_addr_i==`UART_REG_LC)
417
                lcr <= #1 wb_dat_i;
418
 
419
// Interrupt Enable Register or UART_DL2
420
always @(posedge clk or posedge wb_rst_i)
421
        if (wb_rst_i)
422
        begin
423
                ier <= #1 4'b0000; // no interrupts after reset
424
                dl[`UART_DL2] <= #1 8'b0;
425
        end
426
        else
427
        if (wb_we_i && wb_addr_i==`UART_REG_IE)
428
                if (dlab)
429
                begin
430
                        dl[`UART_DL2] <= #1 wb_dat_i;
431
                end
432
                else
433
                        ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb
434
 
435
 
436
// FIFO Control Register and rx_reset, tx_reset signals
437
always @(posedge clk or posedge wb_rst_i)
438
        if (wb_rst_i) begin
439
                fcr <= #1 2'b11;
440
                rx_reset <= #1 0;
441
                tx_reset <= #1 0;
442
        end else
443
        if (wb_we_i && wb_addr_i==`UART_REG_FC) begin
444
                fcr <= #1 wb_dat_i[7:6];
445
                rx_reset <= #1 wb_dat_i[1];
446
                tx_reset <= #1 wb_dat_i[2];
447 37 gorban
        end else begin
448 27 mohor
                rx_reset <= #1 0;
449
                tx_reset <= #1 0;
450
        end
451
 
452
// Modem Control Register
453
always @(posedge clk or posedge wb_rst_i)
454
        if (wb_rst_i)
455
                mcr <= #1 5'b0;
456
        else
457
        if (wb_we_i && wb_addr_i==`UART_REG_MC)
458
                        mcr <= #1 wb_dat_i[4:0];
459
 
460 52 gorban
// Scratch register
461
// Line Control Register
462
always @(posedge clk or posedge wb_rst_i)
463
        if (wb_rst_i)
464
                scratch <= #1 0; // 8n1 setting
465
        else
466
        if (wb_we_i && wb_addr_i==`UART_REG_SR)
467
                scratch <= #1 wb_dat_i;
468
 
469 27 mohor
// TX_FIFO or UART_DL1
470
always @(posedge clk or posedge wb_rst_i)
471
        if (wb_rst_i)
472
        begin
473
                dl[`UART_DL1]  <= #1 8'b0;
474
                tf_push   <= #1 1'b0;
475
                start_dlc <= #1 1'b0;
476
        end
477
        else
478
        if (wb_we_i && wb_addr_i==`UART_REG_TR)
479
                if (dlab)
480
                begin
481
                        dl[`UART_DL1] <= #1 wb_dat_i;
482
                        start_dlc <= #1 1'b1; // enable DL counter
483
                        tf_push <= #1 1'b0;
484
                end
485
                else
486
                begin
487
                        tf_push   <= #1 1'b1;
488
                        start_dlc <= #1 1'b0;
489 37 gorban
                end // else: !if(dlab)
490 27 mohor
        else
491
        begin
492
                start_dlc <= #1 1'b0;
493
                tf_push   <= #1 1'b0;
494 37 gorban
        end // else: !if(dlab)
495 27 mohor
 
496
// Receiver FIFO trigger level selection logic (asynchronous mux)
497 31 gorban
always @(fcr)
498 27 mohor
        case (fcr[`UART_FC_TL])
499
                2'b00 : trigger_level = 1;
500
                2'b01 : trigger_level = 4;
501
                2'b10 : trigger_level = 8;
502
                2'b11 : trigger_level = 14;
503 37 gorban
        endcase // case(fcr[`UART_FC_TL])
504 27 mohor
 
505
//
506
//  STATUS REGISTERS  //
507
//
508
 
509
// Modem Status Register
510 59 mohor
reg [3:0] delayed_modem_signals;
511 27 mohor
always @(posedge clk or posedge wb_rst_i)
512
begin
513
        if (wb_rst_i)
514 64 mohor
          begin
515
                msr <= #1 0;
516
                delayed_modem_signals[3:0] <= #1 0;
517
          end
518 27 mohor
        else begin
519
                msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 :
520 59 mohor
                        msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]);
521
                msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd_c, ri_c, dsr_c, cts_c};
522
                delayed_modem_signals[3:0] <= #1 {dcd, ri, dsr, cts};
523 27 mohor
        end
524
end
525
 
526 58 mohor
 
527 27 mohor
// Line Status Register
528 37 gorban
 
529
// activation conditions
530 66 mohor
assign lsr0 = (rf_count==0 && rf_push_pulse);  // data in receiver fifo available set condition
531 37 gorban
assign lsr1 = rf_overrun;     // Receiver overrun error
532
assign lsr2 = rf_data_out[1]; // parity error bit
533
assign lsr3 = rf_data_out[0]; // framing error bit
534 45 gorban
assign lsr4 = rf_data_out[2]; // break error in the character
535 60 mohor
assign lsr5 = (tf_count==5'b0 && thre_set_en);  // transmitter fifo is empty
536
assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty
537 37 gorban
assign lsr7 = rf_error_bit;
538
 
539
// lsr bit0 (receiver data available)
540 45 gorban
reg      lsr0_d;
541
 
542 27 mohor
always @(posedge clk or posedge wb_rst_i)
543 45 gorban
        if (wb_rst_i) lsr0_d <= #1 0;
544
        else lsr0_d <= #1 lsr0;
545
 
546
always @(posedge clk or posedge wb_rst_i)
547 37 gorban
        if (wb_rst_i) lsr0r <= #1 0;
548 54 mohor
        else lsr0r <= #1 (rf_count==1 && fifo_read || rx_reset) ? 0 : // deassert condition
549 45 gorban
                                          lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted 
550 27 mohor
 
551 37 gorban
// lsr bit 1 (receiver overrun)
552
reg lsr1_d; // delayed
553 29 mohor
 
554 37 gorban
always @(posedge clk or posedge wb_rst_i)
555
        if (wb_rst_i) lsr1_d <= #1 0;
556
        else lsr1_d <= #1 lsr1;
557
 
558
always @(posedge clk or posedge wb_rst_i)
559 43 mohor
        if (wb_rst_i) lsr1r <= #1 0;
560 45 gorban
        else    lsr1r <= #1     lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise
561 37 gorban
 
562
// lsr bit 2 (parity error)
563
reg lsr2_d; // delayed
564
 
565
always @(posedge clk or posedge wb_rst_i)
566
        if (wb_rst_i) lsr2_d <= #1 0;
567
        else lsr2_d <= #1 lsr2;
568
 
569
always @(posedge clk or posedge wb_rst_i)
570 44 gorban
        if (wb_rst_i) lsr2r <= #1 0;
571 45 gorban
        else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise
572 37 gorban
 
573
// lsr bit 3 (framing error)
574
reg lsr3_d; // delayed
575
 
576
always @(posedge clk or posedge wb_rst_i)
577
        if (wb_rst_i) lsr3_d <= #1 0;
578
        else lsr3_d <= #1 lsr3;
579
 
580
always @(posedge clk or posedge wb_rst_i)
581 44 gorban
        if (wb_rst_i) lsr3r <= #1 0;
582 45 gorban
        else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise
583 37 gorban
 
584
// lsr bit 4 (break indicator)
585
reg lsr4_d; // delayed
586
 
587
always @(posedge clk or posedge wb_rst_i)
588
        if (wb_rst_i) lsr4_d <= #1 0;
589
        else lsr4_d <= #1 lsr4;
590
 
591
always @(posedge clk or posedge wb_rst_i)
592 44 gorban
        if (wb_rst_i) lsr4r <= #1 0;
593 45 gorban
        else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d);
594 37 gorban
 
595
// lsr bit 5 (transmitter fifo is empty)
596
reg lsr5_d;
597
 
598
always @(posedge clk or posedge wb_rst_i)
599 45 gorban
        if (wb_rst_i) lsr5_d <= #1 1;
600 37 gorban
        else lsr5_d <= #1 lsr5;
601
 
602
always @(posedge clk or posedge wb_rst_i)
603 45 gorban
        if (wb_rst_i) lsr5r <= #1 1;
604 50 gorban
        else lsr5r <= #1 (fifo_write) ? 0 :  lsr5r || (lsr5 && ~lsr5_d);
605 37 gorban
 
606
// lsr bit 6 (transmitter empty indicator)
607
reg lsr6_d;
608
 
609
always @(posedge clk or posedge wb_rst_i)
610 45 gorban
        if (wb_rst_i) lsr6_d <= #1 1;
611 37 gorban
        else lsr6_d <= #1 lsr6;
612
 
613
always @(posedge clk or posedge wb_rst_i)
614 45 gorban
        if (wb_rst_i) lsr6r <= #1 1;
615 50 gorban
        else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d);
616 37 gorban
 
617
// lsr bit 7 (error in fifo)
618
reg lsr7_d;
619
 
620
always @(posedge clk or posedge wb_rst_i)
621
        if (wb_rst_i) lsr7_d <= #1 0;
622
        else lsr7_d <= #1 lsr7;
623
 
624
always @(posedge clk or posedge wb_rst_i)
625 44 gorban
        if (wb_rst_i) lsr7r <= #1 0;
626 45 gorban
        else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d);
627 37 gorban
 
628 29 mohor
// Frequency divider
629 37 gorban
always @(posedge clk or posedge wb_rst_i)
630 29 mohor
begin
631
        if (wb_rst_i)
632
                dlc <= #1 0;
633
        else
634 37 gorban
                if (start_dlc | ~ (|dlc))
635
                        dlc <= #1 dl - 1;               // preset counter
636
                else
637
                        dlc <= #1 dlc - 1;              // decrement counter
638 29 mohor
end
639
 
640 27 mohor
// Enable signal generation logic
641
always @(posedge clk or posedge wb_rst_i)
642
begin
643
        if (wb_rst_i)
644
                enable <= #1 1'b0;
645
        else
646 37 gorban
                if (|dl & ~(|dlc))     // dl>0 & dlc==0
647
                        enable <= #1 1'b1;
648
                else
649
                        enable <= #1 1'b0;
650 27 mohor
end
651
 
652 60 mohor
// Delaying THRE status for one character cycle after a character is written to an empty fifo.
653
always @(lcr)
654
  case (lcr[3:0])
655
    4'b0000                             : block_value =  95; // 6 bits
656
    4'b0100                             : block_value = 103; // 6.5 bits
657
    4'b0001, 4'b1000                    : block_value = 111; // 7 bits
658
    4'b1100                             : block_value = 119; // 7.5 bits
659
    4'b0010, 4'b0101, 4'b1001           : block_value = 127; // 8 bits
660
    4'b0011, 4'b0110, 4'b1010, 4'b1101  : block_value = 143; // 9 bits
661
    4'b0111, 4'b1011, 4'b1110           : block_value = 159; // 10 bits
662
    4'b1111                             : block_value = 175; // 11 bits
663
  endcase // case(lcr[3:0])
664
 
665
// Counting time of one character minus stop bit
666
always @(posedge clk or posedge wb_rst_i)
667
begin
668
  if (wb_rst_i)
669
    block_cnt <= #1 8'd0;
670
  else
671
  if(lsr5r & fifo_write)  // THRE bit set & write to fifo occured
672
    block_cnt <= #1 block_value;
673
  else
674
  if (enable & block_cnt != 8'b0)  // only work on enable times
675
    block_cnt <= #1 block_cnt - 1;  // decrement break counter
676
end // always of break condition detection
677
 
678
// Generating THRE status enable signal
679
assign thre_set_en = ~(|block_cnt);
680
 
681
 
682 37 gorban
//
683
//      INTERRUPT LOGIC
684
//
685 29 mohor
 
686 37 gorban
assign rls_int  = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]);
687
assign rda_int  = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level});
688 40 gorban
assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE];
689 37 gorban
assign ms_int   = ier[`UART_IE_MS] && (| msr[3:0]);
690
assign ti_int   = ier[`UART_IE_RDA] && (counter_t == 10'b0);
691 29 mohor
 
692 37 gorban
reg      rls_int_d;
693
reg      thre_int_d;
694
reg      ms_int_d;
695
reg      ti_int_d;
696 45 gorban
reg      rda_int_d;
697 29 mohor
 
698 37 gorban
// delay lines
699
always  @(posedge clk or posedge wb_rst_i)
700
        if (wb_rst_i) rls_int_d <= #1 0;
701
        else rls_int_d <= #1 rls_int;
702 29 mohor
 
703 37 gorban
always  @(posedge clk or posedge wb_rst_i)
704 45 gorban
        if (wb_rst_i) rda_int_d <= #1 0;
705
        else rda_int_d <= #1 rda_int;
706
 
707
always  @(posedge clk or posedge wb_rst_i)
708 37 gorban
        if (wb_rst_i) thre_int_d <= #1 0;
709
        else thre_int_d <= #1 thre_int;
710 29 mohor
 
711 37 gorban
always  @(posedge clk or posedge wb_rst_i)
712
        if (wb_rst_i) ms_int_d <= #1 0;
713
        else ms_int_d <= #1 ms_int;
714 29 mohor
 
715 37 gorban
always  @(posedge clk or posedge wb_rst_i)
716 41 mohor
        if (wb_rst_i) ti_int_d <= #1 0;
717 37 gorban
        else ti_int_d <= #1 ti_int;
718 27 mohor
 
719 37 gorban
// rise detection signals
720
 
721
wire     rls_int_rise;
722
wire     thre_int_rise;
723
wire     ms_int_rise;
724
wire     ti_int_rise;
725 45 gorban
wire     rda_int_rise;
726 37 gorban
 
727 45 gorban
assign rda_int_rise    = rda_int & ~rda_int_d;
728 37 gorban
assign rls_int_rise       = rls_int & ~rls_int_d;
729
assign thre_int_rise   = thre_int & ~thre_int_d;
730
assign ms_int_rise        = ms_int & ~ms_int_d;
731
assign ti_int_rise        = ti_int & ~ti_int_d;
732
 
733
// interrupt pending flags
734
reg     rls_int_pnd;
735 45 gorban
reg     rda_int_pnd;
736 37 gorban
reg     thre_int_pnd;
737
reg     ms_int_pnd;
738
reg     ti_int_pnd;
739
 
740
// interrupt pending flags assignments
741
always  @(posedge clk or posedge wb_rst_i)
742
        if (wb_rst_i) rls_int_pnd <= #1 0;
743
        else
744
                rls_int_pnd <= #1 lsr_mask ? 0 :                                                 // reset condition
745
                                                        rls_int_rise ? 1 :                                              // latch condition
746
                                                        rls_int_pnd && ier[`UART_IE_RLS];       // default operation: remove if masked
747
 
748
always  @(posedge clk or posedge wb_rst_i)
749 45 gorban
        if (wb_rst_i) rda_int_pnd <= #1 0;
750
        else
751
                rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 :        // reset condition
752
                                                        rda_int_rise ? 1 :                                              // latch condition
753
                                                        rda_int_pnd && ier[`UART_IE_RDA];       // default operation: remove if masked
754
 
755
always  @(posedge clk or posedge wb_rst_i)
756 37 gorban
        if (wb_rst_i) thre_int_pnd <= #1 0;
757
        else
758 56 mohor
                thre_int_pnd <= #1 fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 0 :
759 37 gorban
                                                        thre_int_rise ? 1 :
760
                                                        thre_int_pnd && ier[`UART_IE_THRE];
761
 
762
always  @(posedge clk or posedge wb_rst_i)
763
        if (wb_rst_i) ms_int_pnd <= #1 0;
764
        else
765
                ms_int_pnd <= #1 msr_read ? 0 :
766
                                                        ms_int_rise ? 1 :
767
                                                        ms_int_pnd && ier[`UART_IE_MS];
768
 
769
always  @(posedge clk or posedge wb_rst_i)
770 42 mohor
        if (wb_rst_i) ti_int_pnd <= #1 0;
771 37 gorban
        else
772
                ti_int_pnd <= #1 fifo_read ? 0 :
773
                                                        ti_int_rise ? 1 :
774
                                                        ti_int_pnd && ier[`UART_IE_RDA];
775
// end of pending flags
776
 
777
// INT_O logic
778 27 mohor
always @(posedge clk or posedge wb_rst_i)
779
begin
780
        if (wb_rst_i)
781
                int_o <= #1 1'b0;
782
        else
783 37 gorban
                int_o <= #1
784
                                        rls_int_pnd             ?       ~lsr_mask                                       :
785 45 gorban
                                        rda_int_pnd             ? 1                                                             :
786 37 gorban
                                        ti_int_pnd              ? ~fifo_read                                    :
787 45 gorban
                                        thre_int_pnd    ? !(fifo_write & iir_read) :
788 37 gorban
                                        ms_int_pnd              ? ~msr_read                                             :
789
                                        0;       // if no interrupt are pending
790 27 mohor
end
791
 
792
 
793
// Interrupt Identification register
794
always @(posedge clk or posedge wb_rst_i)
795
begin
796
        if (wb_rst_i)
797
                iir <= #1 1;
798
        else
799 37 gorban
        if (rls_int_pnd)  // interrupt is pending
800 27 mohor
        begin
801
                iir[`UART_II_II] <= #1 `UART_II_RLS;    // set identification register to correct value
802
                iir[`UART_II_IP] <= #1 1'b0;            // and clear the IIR bit 0 (interrupt pending)
803 37 gorban
        end else // the sequence of conditions determines priority of interrupt identification
804 27 mohor
        if (rda_int)
805
        begin
806
                iir[`UART_II_II] <= #1 `UART_II_RDA;
807
                iir[`UART_II_IP] <= #1 1'b0;
808
        end
809 37 gorban
        else if (ti_int_pnd)
810 27 mohor
        begin
811
                iir[`UART_II_II] <= #1 `UART_II_TI;
812
                iir[`UART_II_IP] <= #1 1'b0;
813
        end
814 37 gorban
        else if (thre_int_pnd)
815 27 mohor
        begin
816
                iir[`UART_II_II] <= #1 `UART_II_THRE;
817
                iir[`UART_II_IP] <= #1 1'b0;
818
        end
819 37 gorban
        else if (ms_int_pnd)
820 27 mohor
        begin
821
                iir[`UART_II_II] <= #1 `UART_II_MS;
822
                iir[`UART_II_IP] <= #1 1'b0;
823 37 gorban
        end else        // no interrupt is pending
824 27 mohor
        begin
825 40 gorban
                iir[`UART_II_II] <= #1 0;
826 27 mohor
                iir[`UART_II_IP] <= #1 1'b1;
827
        end
828
end
829
 
830
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.