OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Blame information for rev 68

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_regs.v                                                 ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  Registers of the uart 16550 core                            ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  Inserts 1 wait state in all WISHBONE transfers              ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Nothing or verification.                                    ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   (See log for the revision history           ////
33
////                                                              ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
38 27 mohor
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 68 mohor
// Revision 1.36  2001/12/20 13:25:46  mohor
66
// rx push changed to be only one cycle wide.
67
//
68 66 mohor
// Revision 1.35  2001/12/19 08:03:34  mohor
69
// Warnings cleared.
70
//
71 64 mohor
// Revision 1.34  2001/12/19 07:33:54  mohor
72
// Synplicity was having troubles with the comment.
73
//
74 63 mohor
// Revision 1.33  2001/12/17 10:14:43  mohor
75
// Things related to msr register changed. After THRE IRQ occurs, and one
76
// character is written to the transmit fifo, the detection of the THRE bit in the
77
// LSR is delayed for one character time.
78
//
79 60 mohor
// Revision 1.32  2001/12/14 13:19:24  mohor
80
// MSR register fixed.
81
//
82 59 mohor
// Revision 1.31  2001/12/14 10:06:58  mohor
83
// After reset modem status register MSR should be reset.
84
//
85 58 mohor
// Revision 1.30  2001/12/13 10:09:13  mohor
86
// thre irq should be cleared only when being source of interrupt.
87
//
88 56 mohor
// Revision 1.29  2001/12/12 09:05:46  mohor
89
// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo).
90
//
91 54 mohor
// Revision 1.28  2001/12/10 19:52:41  gorban
92
// Scratch register added
93
//
94 52 gorban
// Revision 1.27  2001/12/06 14:51:04  gorban
95
// Bug in LSR[0] is fixed.
96
// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.
97
//
98 50 gorban
// Revision 1.26  2001/12/03 21:44:29  gorban
99
// Updated specification documentation.
100
// Added full 32-bit data bus interface, now as default.
101
// Address is 5-bit wide in 32-bit data bus mode.
102
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
103
// Added debug interface with two 32-bit read-only registers in 32-bit mode.
104
// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.
105
// My small test bench is modified to work with 32-bit mode.
106
//
107 48 gorban
// Revision 1.25  2001/11/28 19:36:39  gorban
108
// Fixed: timeout and break didn't pay attention to current data format when counting time
109
//
110 47 gorban
// Revision 1.24  2001/11/26 21:38:54  gorban
111
// Lots of fixes:
112
// Break condition wasn't handled correctly at all.
113
// LSR bits could lose their values.
114
// LSR value after reset was wrong.
115
// Timing of THRE interrupt signal corrected.
116
// LSR bit 0 timing corrected.
117
//
118 45 gorban
// Revision 1.23  2001/11/12 21:57:29  gorban
119
// fixed more typo bugs
120
//
121 44 gorban
// Revision 1.22  2001/11/12 15:02:28  mohor
122
// lsr1r error fixed.
123
//
124 43 mohor
// Revision 1.21  2001/11/12 14:57:27  mohor
125
// ti_int_pnd error fixed.
126
//
127 42 mohor
// Revision 1.20  2001/11/12 14:50:27  mohor
128
// ti_int_d error fixed.
129
//
130 41 mohor
// Revision 1.19  2001/11/10 12:43:21  gorban
131 63 mohor
// Logic Synthesis bugs fixed. Some other minor changes
132 41 mohor
//
133 40 gorban
// Revision 1.18  2001/11/08 14:54:23  mohor
134
// Comments in Slovene language deleted, few small fixes for better work of
135
// old tools. IRQs need to be fix.
136
//
137 39 mohor
// Revision 1.17  2001/11/07 17:51:52  gorban
138
// Heavily rewritten interrupt and LSR subsystems.
139
// Many bugs hopefully squashed.
140
//
141 37 gorban
// Revision 1.16  2001/11/02 09:55:16  mohor
142
// no message
143
//
144 36 mohor
// Revision 1.15  2001/10/31 15:19:22  gorban
145
// Fixes to break and timeout conditions
146
//
147 35 gorban
// Revision 1.14  2001/10/29 17:00:46  gorban
148
// fixed parity sending and tx_fifo resets over- and underrun
149
//
150 34 gorban
// Revision 1.13  2001/10/20 09:58:40  gorban
151
// Small synopsis fixes
152
//
153 33 gorban
// Revision 1.12  2001/10/19 16:21:40  gorban
154
// Changes data_out to be synchronous again as it should have been.
155
//
156 32 gorban
// Revision 1.11  2001/10/18 20:35:45  gorban
157
// small fix
158
//
159 31 gorban
// Revision 1.10  2001/08/24 21:01:12  mohor
160
// Things connected to parity changed.
161
// Clock devider changed.
162
//
163 29 mohor
// Revision 1.9  2001/08/23 16:05:05  mohor
164
// Stop bit bug fixed.
165
// Parity bug fixed.
166
// WISHBONE read cycle bug fixed,
167
// OE indicator (Overrun Error) bug fixed.
168
// PE indicator (Parity Error) bug fixed.
169
// Register read bug fixed.
170
//
171 27 mohor
// Revision 1.10  2001/06/23 11:21:48  gorban
172
// DL made 16-bit long. Fixed transmission/reception bugs.
173
//
174
// Revision 1.9  2001/05/31 20:08:01  gorban
175
// FIFO changes and other corrections.
176
//
177
// Revision 1.8  2001/05/29 20:05:04  gorban
178
// Fixed some bugs and synthesis problems.
179
//
180
// Revision 1.7  2001/05/27 17:37:49  gorban
181
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
182
//
183
// Revision 1.6  2001/05/21 19:12:02  gorban
184
// Corrected some Linter messages.
185
//
186
// Revision 1.5  2001/05/17 18:34:18  gorban
187
// First 'stable' release. Should be sythesizable now. Also added new header.
188
//
189
// Revision 1.0  2001-05-17 21:27:11+02  jacob
190
// Initial revision
191
//
192
//
193
 
194 33 gorban
// synopsys translate_off
195 27 mohor
`include "timescale.v"
196 33 gorban
// synopsys translate_on
197
 
198 27 mohor
`include "uart_defines.v"
199
 
200
`define UART_DL1 7:0
201
`define UART_DL2 15:8
202
 
203
module uart_regs (clk,
204
        wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i,
205
 
206
// additional signals
207
        modem_inputs,
208
        stx_pad_o, srx_pad_i,
209 48 gorban
 
210
`ifdef DATA_BUS_WIDTH_8
211
`else
212
// debug interface signals      enabled
213
ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate,
214
`endif
215 27 mohor
        rts_pad_o, dtr_pad_o, int_o
216
        );
217
 
218 37 gorban
input                                                                   clk;
219
input                                                                   wb_rst_i;
220
input [`UART_ADDR_WIDTH-1:0]             wb_addr_i;
221
input [7:0]                                                      wb_dat_i;
222
output [7:0]                                                     wb_dat_o;
223
input                                                                   wb_we_i;
224
input                                                                   wb_re_i;
225 27 mohor
 
226 37 gorban
output                                                                  stx_pad_o;
227
input                                                                   srx_pad_i;
228 27 mohor
 
229 37 gorban
input [3:0]                                                      modem_inputs;
230
output                                                                  rts_pad_o;
231
output                                                                  dtr_pad_o;
232
output                                                                  int_o;
233 27 mohor
 
234 48 gorban
`ifdef DATA_BUS_WIDTH_8
235
`else
236
// if 32-bit databus and debug interface are enabled
237
output [3:0]                                                     ier;
238
output [3:0]                                                     iir;
239
output [1:0]                                                     fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
240
output [4:0]                                                     mcr;
241
output [7:0]                                                     lcr;
242
output [7:0]                                                     msr;
243
output [7:0]                                                     lsr;
244
output [`UART_FIFO_COUNTER_W-1:0]        rf_count;
245
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
246
output [2:0]                                                     tstate;
247
output [3:0]                                                     rstate;
248
 
249
`endif
250
 
251 37 gorban
wire [3:0]                                                               modem_inputs;
252
reg                                                                             enable;
253
wire                                                                            stx_pad_o;              // received from transmitter module
254
wire                                                                            srx_pad_i;
255 27 mohor
 
256 37 gorban
reg [7:0]                                                                wb_dat_o;
257 27 mohor
 
258 37 gorban
wire [`UART_ADDR_WIDTH-1:0]              wb_addr_i;
259
wire [7:0]                                                               wb_dat_i;
260 27 mohor
 
261
 
262 37 gorban
reg [3:0]                                                                ier;
263
reg [3:0]                                                                iir;
264
reg [1:0]                                                                fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
265
reg [4:0]                                                                mcr;
266
reg [7:0]                                                                lcr;
267
reg [7:0]                                                                msr;
268
reg [15:0]                                                               dl;  // 32-bit divisor latch
269 52 gorban
reg [7:0]                                                                scratch; // UART scratch register
270 37 gorban
reg                                                                             start_dlc; // activate dlc on writing to UART_DL1
271
reg                                                                             lsr_mask_d; // delay for lsr_mask condition
272
reg                                                                             msi_reset; // reset MSR 4 lower bits indicator
273 40 gorban
//reg                                                                           threi_clear; // THRE interrupt clear flag
274 37 gorban
reg [15:0]                                                               dlc;  // 32-bit divisor latch counter
275
reg                                                                             int_o;
276 27 mohor
 
277 37 gorban
reg [3:0]                                                                trigger_level; // trigger level of the receiver FIFO
278
reg                                                                             rx_reset;
279
reg                                                                             tx_reset;
280 27 mohor
 
281 37 gorban
wire                                                                            dlab;                      // divisor latch access bit
282
wire                                                                            cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits
283
wire                                                                            loopback;                  // loopback bit (MCR bit 4)
284 60 mohor
wire                                                                            cts, dsr, ri, dcd;         // effective signals
285 59 mohor
wire                    cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback)
286 37 gorban
wire                                                                            rts_pad_o, dtr_pad_o;              // modem control outputs
287 27 mohor
 
288 37 gorban
// LSR bits wires and regs
289
wire [7:0]                                                               lsr;
290
wire                                                                            lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7;
291
reg                                                                             lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r;
292
wire                                                                            lsr_mask; // lsr_mask
293
 
294 27 mohor
//
295
// ASSINGS
296
//
297
 
298 37 gorban
assign                                                                  lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r };
299 27 mohor
 
300 37 gorban
assign                                                                  {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs;
301 60 mohor
assign                                                                  {cts, dsr, ri, dcd} = ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
302 37 gorban
 
303 59 mohor
assign                  {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
304 60 mohor
                                                               : {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
305 59 mohor
 
306 37 gorban
assign                                                                  dlab = lcr[`UART_LC_DL];
307
assign                                                                  loopback = mcr[4];
308
 
309 27 mohor
// assign modem outputs
310 37 gorban
assign                                                                  rts_pad_o = mcr[`UART_MC_RTS];
311
assign                                                                  dtr_pad_o = mcr[`UART_MC_DTR];
312 27 mohor
 
313
// Interrupt signals
314 37 gorban
wire                                                                            rls_int;  // receiver line status interrupt
315
wire                                                                            rda_int;  // receiver data available interrupt
316
wire                                                                            ti_int;   // timeout indicator interrupt
317
wire                                                                            thre_int; // transmitter holding register empty interrupt
318
wire                                                                            ms_int;   // modem status interrupt
319 27 mohor
 
320
// FIFO signals
321 37 gorban
reg                                                                             tf_push;
322
reg                                                                             rf_pop;
323
wire [`UART_FIFO_REC_WIDTH-1:0]  rf_data_out;
324
wire                                                                            rf_error_bit; // an error (parity or framing) is inside the fifo
325
wire [`UART_FIFO_COUNTER_W-1:0]  rf_count;
326
wire [`UART_FIFO_COUNTER_W-1:0]  tf_count;
327 48 gorban
wire [2:0]                                                               tstate;
328
wire [3:0]                                                               rstate;
329 37 gorban
wire [9:0]                                                               counter_t;
330 27 mohor
 
331 60 mohor
wire                      thre_set_en; // THRE status is delayed one character time when a character is written to fifo.
332
reg  [7:0]                block_cnt;   // While counter counts, THRE status is blocked (delayed one character cycle)
333
reg  [7:0]                block_value; // One character length minus stop bit
334 37 gorban
 
335 27 mohor
// Transmitter Instance
336 48 gorban
uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask);
337 27 mohor
 
338
// Receiver Instance
339 64 mohor
uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable,
340 66 mohor
        counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push_pulse);
341 27 mohor
 
342 32 gorban
 
343 48 gorban
// Asynchronous reading here because the outputs are sampled in uart_wb.v file 
344 52 gorban
always @(dl or dlab or ier or iir or scratch
345 48 gorban
                        or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i)   // asynchrounous reading
346 27 mohor
begin
347 52 gorban
        case (wb_addr_i)
348
                `UART_REG_RB   : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3];
349
                `UART_REG_IE    : wb_dat_o = dlab ? dl[`UART_DL2] : ier;
350
                `UART_REG_II    : wb_dat_o = {4'b1100,iir};
351
                `UART_REG_LC    : wb_dat_o = lcr;
352
                `UART_REG_LS    : wb_dat_o = lsr;
353
                `UART_REG_MS    : wb_dat_o = msr;
354
                `UART_REG_SR    : wb_dat_o = scratch;
355
                default:  wb_dat_o = 8'b0; // ??
356
        endcase // case(wb_addr_i)
357
end // always @ (dl or dlab or ier or iir or scratch...
358 27 mohor
 
359 52 gorban
 
360 27 mohor
// rf_pop signal handling
361
always @(posedge clk or posedge wb_rst_i)
362
begin
363
        if (wb_rst_i)
364
                rf_pop <= #1 0;
365
        else
366
        if (rf_pop)     // restore the signal to 0 after one clock cycle
367
                rf_pop <= #1 0;
368
        else
369
        if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab)
370
                rf_pop <= #1 1; // advance read pointer
371
end
372
 
373 37 gorban
wire    lsr_mask_condition;
374
wire    iir_read;
375
wire  msr_read;
376
wire    fifo_read;
377 45 gorban
wire    fifo_write;
378 37 gorban
 
379
assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab);
380
assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab);
381
assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab);
382
assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab);
383 45 gorban
assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab);
384 37 gorban
 
385
// lsr_mask_d delayed signal handling
386 27 mohor
always @(posedge clk or posedge wb_rst_i)
387
begin
388
        if (wb_rst_i)
389 37 gorban
                lsr_mask_d <= #1 0;
390
        else // reset bits in the Line Status Register
391
                lsr_mask_d <= #1 lsr_mask_condition;
392 27 mohor
end
393
 
394 37 gorban
// lsr_mask is rise detected
395
assign lsr_mask = lsr_mask_condition && ~lsr_mask_d;
396 27 mohor
 
397
// msi_reset signal handling
398
always @(posedge clk or posedge wb_rst_i)
399
begin
400
        if (wb_rst_i)
401 58 mohor
                msi_reset <= #1 1;
402 27 mohor
        else
403
        if (msi_reset)
404
                msi_reset <= #1 0;
405
        else
406 47 gorban
        if (msr_read)
407 27 mohor
                msi_reset <= #1 1; // reset bits in Modem Status Register
408
end
409
 
410
 
411
//
412
//   WRITES AND RESETS   //
413
//
414
// Line Control Register
415
always @(posedge clk or posedge wb_rst_i)
416
        if (wb_rst_i)
417
                lcr <= #1 8'b00000011; // 8n1 setting
418
        else
419
        if (wb_we_i && wb_addr_i==`UART_REG_LC)
420
                lcr <= #1 wb_dat_i;
421
 
422
// Interrupt Enable Register or UART_DL2
423
always @(posedge clk or posedge wb_rst_i)
424
        if (wb_rst_i)
425
        begin
426
                ier <= #1 4'b0000; // no interrupts after reset
427
                dl[`UART_DL2] <= #1 8'b0;
428
        end
429
        else
430
        if (wb_we_i && wb_addr_i==`UART_REG_IE)
431
                if (dlab)
432
                begin
433
                        dl[`UART_DL2] <= #1 wb_dat_i;
434
                end
435
                else
436
                        ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb
437
 
438
 
439
// FIFO Control Register and rx_reset, tx_reset signals
440
always @(posedge clk or posedge wb_rst_i)
441
        if (wb_rst_i) begin
442
                fcr <= #1 2'b11;
443
                rx_reset <= #1 0;
444
                tx_reset <= #1 0;
445
        end else
446
        if (wb_we_i && wb_addr_i==`UART_REG_FC) begin
447
                fcr <= #1 wb_dat_i[7:6];
448
                rx_reset <= #1 wb_dat_i[1];
449
                tx_reset <= #1 wb_dat_i[2];
450 37 gorban
        end else begin
451 27 mohor
                rx_reset <= #1 0;
452
                tx_reset <= #1 0;
453
        end
454
 
455
// Modem Control Register
456
always @(posedge clk or posedge wb_rst_i)
457
        if (wb_rst_i)
458
                mcr <= #1 5'b0;
459
        else
460
        if (wb_we_i && wb_addr_i==`UART_REG_MC)
461
                        mcr <= #1 wb_dat_i[4:0];
462
 
463 52 gorban
// Scratch register
464
// Line Control Register
465
always @(posedge clk or posedge wb_rst_i)
466
        if (wb_rst_i)
467
                scratch <= #1 0; // 8n1 setting
468
        else
469
        if (wb_we_i && wb_addr_i==`UART_REG_SR)
470
                scratch <= #1 wb_dat_i;
471
 
472 27 mohor
// TX_FIFO or UART_DL1
473
always @(posedge clk or posedge wb_rst_i)
474
        if (wb_rst_i)
475
        begin
476
                dl[`UART_DL1]  <= #1 8'b0;
477
                tf_push   <= #1 1'b0;
478
                start_dlc <= #1 1'b0;
479
        end
480
        else
481
        if (wb_we_i && wb_addr_i==`UART_REG_TR)
482
                if (dlab)
483
                begin
484
                        dl[`UART_DL1] <= #1 wb_dat_i;
485
                        start_dlc <= #1 1'b1; // enable DL counter
486
                        tf_push <= #1 1'b0;
487
                end
488
                else
489
                begin
490
                        tf_push   <= #1 1'b1;
491
                        start_dlc <= #1 1'b0;
492 37 gorban
                end // else: !if(dlab)
493 27 mohor
        else
494
        begin
495
                start_dlc <= #1 1'b0;
496
                tf_push   <= #1 1'b0;
497 37 gorban
        end // else: !if(dlab)
498 27 mohor
 
499
// Receiver FIFO trigger level selection logic (asynchronous mux)
500 31 gorban
always @(fcr)
501 27 mohor
        case (fcr[`UART_FC_TL])
502
                2'b00 : trigger_level = 1;
503
                2'b01 : trigger_level = 4;
504
                2'b10 : trigger_level = 8;
505
                2'b11 : trigger_level = 14;
506 37 gorban
        endcase // case(fcr[`UART_FC_TL])
507 27 mohor
 
508
//
509
//  STATUS REGISTERS  //
510
//
511
 
512
// Modem Status Register
513 59 mohor
reg [3:0] delayed_modem_signals;
514 27 mohor
always @(posedge clk or posedge wb_rst_i)
515
begin
516
        if (wb_rst_i)
517 64 mohor
          begin
518
                msr <= #1 0;
519
                delayed_modem_signals[3:0] <= #1 0;
520
          end
521 27 mohor
        else begin
522
                msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 :
523 59 mohor
                        msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]);
524
                msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd_c, ri_c, dsr_c, cts_c};
525
                delayed_modem_signals[3:0] <= #1 {dcd, ri, dsr, cts};
526 27 mohor
        end
527
end
528
 
529 58 mohor
 
530 27 mohor
// Line Status Register
531 37 gorban
 
532
// activation conditions
533 66 mohor
assign lsr0 = (rf_count==0 && rf_push_pulse);  // data in receiver fifo available set condition
534 37 gorban
assign lsr1 = rf_overrun;     // Receiver overrun error
535
assign lsr2 = rf_data_out[1]; // parity error bit
536
assign lsr3 = rf_data_out[0]; // framing error bit
537 45 gorban
assign lsr4 = rf_data_out[2]; // break error in the character
538 60 mohor
assign lsr5 = (tf_count==5'b0 && thre_set_en);  // transmitter fifo is empty
539
assign lsr6 = (tf_count==5'b0 && thre_set_en && (tstate == /*`S_IDLE */ 0)); // transmitter empty
540 68 mohor
assign lsr7 = rf_error_bit | rf_overrun;
541 37 gorban
 
542
// lsr bit0 (receiver data available)
543 45 gorban
reg      lsr0_d;
544
 
545 27 mohor
always @(posedge clk or posedge wb_rst_i)
546 45 gorban
        if (wb_rst_i) lsr0_d <= #1 0;
547
        else lsr0_d <= #1 lsr0;
548
 
549
always @(posedge clk or posedge wb_rst_i)
550 37 gorban
        if (wb_rst_i) lsr0r <= #1 0;
551 54 mohor
        else lsr0r <= #1 (rf_count==1 && fifo_read || rx_reset) ? 0 : // deassert condition
552 45 gorban
                                          lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted 
553 27 mohor
 
554 37 gorban
// lsr bit 1 (receiver overrun)
555
reg lsr1_d; // delayed
556 29 mohor
 
557 37 gorban
always @(posedge clk or posedge wb_rst_i)
558
        if (wb_rst_i) lsr1_d <= #1 0;
559
        else lsr1_d <= #1 lsr1;
560
 
561
always @(posedge clk or posedge wb_rst_i)
562 43 mohor
        if (wb_rst_i) lsr1r <= #1 0;
563 45 gorban
        else    lsr1r <= #1     lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise
564 37 gorban
 
565
// lsr bit 2 (parity error)
566
reg lsr2_d; // delayed
567
 
568
always @(posedge clk or posedge wb_rst_i)
569
        if (wb_rst_i) lsr2_d <= #1 0;
570
        else lsr2_d <= #1 lsr2;
571
 
572
always @(posedge clk or posedge wb_rst_i)
573 44 gorban
        if (wb_rst_i) lsr2r <= #1 0;
574 45 gorban
        else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise
575 37 gorban
 
576
// lsr bit 3 (framing error)
577
reg lsr3_d; // delayed
578
 
579
always @(posedge clk or posedge wb_rst_i)
580
        if (wb_rst_i) lsr3_d <= #1 0;
581
        else lsr3_d <= #1 lsr3;
582
 
583
always @(posedge clk or posedge wb_rst_i)
584 44 gorban
        if (wb_rst_i) lsr3r <= #1 0;
585 45 gorban
        else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise
586 37 gorban
 
587
// lsr bit 4 (break indicator)
588
reg lsr4_d; // delayed
589
 
590
always @(posedge clk or posedge wb_rst_i)
591
        if (wb_rst_i) lsr4_d <= #1 0;
592
        else lsr4_d <= #1 lsr4;
593
 
594
always @(posedge clk or posedge wb_rst_i)
595 44 gorban
        if (wb_rst_i) lsr4r <= #1 0;
596 45 gorban
        else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d);
597 37 gorban
 
598
// lsr bit 5 (transmitter fifo is empty)
599
reg lsr5_d;
600
 
601
always @(posedge clk or posedge wb_rst_i)
602 45 gorban
        if (wb_rst_i) lsr5_d <= #1 1;
603 37 gorban
        else lsr5_d <= #1 lsr5;
604
 
605
always @(posedge clk or posedge wb_rst_i)
606 45 gorban
        if (wb_rst_i) lsr5r <= #1 1;
607 50 gorban
        else lsr5r <= #1 (fifo_write) ? 0 :  lsr5r || (lsr5 && ~lsr5_d);
608 37 gorban
 
609
// lsr bit 6 (transmitter empty indicator)
610
reg lsr6_d;
611
 
612
always @(posedge clk or posedge wb_rst_i)
613 45 gorban
        if (wb_rst_i) lsr6_d <= #1 1;
614 37 gorban
        else lsr6_d <= #1 lsr6;
615
 
616
always @(posedge clk or posedge wb_rst_i)
617 45 gorban
        if (wb_rst_i) lsr6r <= #1 1;
618 50 gorban
        else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d);
619 37 gorban
 
620
// lsr bit 7 (error in fifo)
621
reg lsr7_d;
622
 
623
always @(posedge clk or posedge wb_rst_i)
624
        if (wb_rst_i) lsr7_d <= #1 0;
625
        else lsr7_d <= #1 lsr7;
626
 
627
always @(posedge clk or posedge wb_rst_i)
628 44 gorban
        if (wb_rst_i) lsr7r <= #1 0;
629 45 gorban
        else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d);
630 37 gorban
 
631 29 mohor
// Frequency divider
632 37 gorban
always @(posedge clk or posedge wb_rst_i)
633 29 mohor
begin
634
        if (wb_rst_i)
635
                dlc <= #1 0;
636
        else
637 37 gorban
                if (start_dlc | ~ (|dlc))
638
                        dlc <= #1 dl - 1;               // preset counter
639
                else
640
                        dlc <= #1 dlc - 1;              // decrement counter
641 29 mohor
end
642
 
643 27 mohor
// Enable signal generation logic
644
always @(posedge clk or posedge wb_rst_i)
645
begin
646
        if (wb_rst_i)
647
                enable <= #1 1'b0;
648
        else
649 37 gorban
                if (|dl & ~(|dlc))     // dl>0 & dlc==0
650
                        enable <= #1 1'b1;
651
                else
652
                        enable <= #1 1'b0;
653 27 mohor
end
654
 
655 60 mohor
// Delaying THRE status for one character cycle after a character is written to an empty fifo.
656
always @(lcr)
657
  case (lcr[3:0])
658
    4'b0000                             : block_value =  95; // 6 bits
659
    4'b0100                             : block_value = 103; // 6.5 bits
660
    4'b0001, 4'b1000                    : block_value = 111; // 7 bits
661
    4'b1100                             : block_value = 119; // 7.5 bits
662
    4'b0010, 4'b0101, 4'b1001           : block_value = 127; // 8 bits
663
    4'b0011, 4'b0110, 4'b1010, 4'b1101  : block_value = 143; // 9 bits
664
    4'b0111, 4'b1011, 4'b1110           : block_value = 159; // 10 bits
665
    4'b1111                             : block_value = 175; // 11 bits
666
  endcase // case(lcr[3:0])
667
 
668
// Counting time of one character minus stop bit
669
always @(posedge clk or posedge wb_rst_i)
670
begin
671
  if (wb_rst_i)
672
    block_cnt <= #1 8'd0;
673
  else
674
  if(lsr5r & fifo_write)  // THRE bit set & write to fifo occured
675
    block_cnt <= #1 block_value;
676
  else
677
  if (enable & block_cnt != 8'b0)  // only work on enable times
678
    block_cnt <= #1 block_cnt - 1;  // decrement break counter
679
end // always of break condition detection
680
 
681
// Generating THRE status enable signal
682
assign thre_set_en = ~(|block_cnt);
683
 
684
 
685 37 gorban
//
686
//      INTERRUPT LOGIC
687
//
688 29 mohor
 
689 37 gorban
assign rls_int  = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]);
690
assign rda_int  = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level});
691 40 gorban
assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE];
692 37 gorban
assign ms_int   = ier[`UART_IE_MS] && (| msr[3:0]);
693
assign ti_int   = ier[`UART_IE_RDA] && (counter_t == 10'b0);
694 29 mohor
 
695 37 gorban
reg      rls_int_d;
696
reg      thre_int_d;
697
reg      ms_int_d;
698
reg      ti_int_d;
699 45 gorban
reg      rda_int_d;
700 29 mohor
 
701 37 gorban
// delay lines
702
always  @(posedge clk or posedge wb_rst_i)
703
        if (wb_rst_i) rls_int_d <= #1 0;
704
        else rls_int_d <= #1 rls_int;
705 29 mohor
 
706 37 gorban
always  @(posedge clk or posedge wb_rst_i)
707 45 gorban
        if (wb_rst_i) rda_int_d <= #1 0;
708
        else rda_int_d <= #1 rda_int;
709
 
710
always  @(posedge clk or posedge wb_rst_i)
711 37 gorban
        if (wb_rst_i) thre_int_d <= #1 0;
712
        else thre_int_d <= #1 thre_int;
713 29 mohor
 
714 37 gorban
always  @(posedge clk or posedge wb_rst_i)
715
        if (wb_rst_i) ms_int_d <= #1 0;
716
        else ms_int_d <= #1 ms_int;
717 29 mohor
 
718 37 gorban
always  @(posedge clk or posedge wb_rst_i)
719 41 mohor
        if (wb_rst_i) ti_int_d <= #1 0;
720 37 gorban
        else ti_int_d <= #1 ti_int;
721 27 mohor
 
722 37 gorban
// rise detection signals
723
 
724
wire     rls_int_rise;
725
wire     thre_int_rise;
726
wire     ms_int_rise;
727
wire     ti_int_rise;
728 45 gorban
wire     rda_int_rise;
729 37 gorban
 
730 45 gorban
assign rda_int_rise    = rda_int & ~rda_int_d;
731 37 gorban
assign rls_int_rise       = rls_int & ~rls_int_d;
732
assign thre_int_rise   = thre_int & ~thre_int_d;
733
assign ms_int_rise        = ms_int & ~ms_int_d;
734
assign ti_int_rise        = ti_int & ~ti_int_d;
735
 
736
// interrupt pending flags
737
reg     rls_int_pnd;
738 45 gorban
reg     rda_int_pnd;
739 37 gorban
reg     thre_int_pnd;
740
reg     ms_int_pnd;
741
reg     ti_int_pnd;
742
 
743
// interrupt pending flags assignments
744
always  @(posedge clk or posedge wb_rst_i)
745
        if (wb_rst_i) rls_int_pnd <= #1 0;
746
        else
747
                rls_int_pnd <= #1 lsr_mask ? 0 :                                                 // reset condition
748
                                                        rls_int_rise ? 1 :                                              // latch condition
749
                                                        rls_int_pnd && ier[`UART_IE_RLS];       // default operation: remove if masked
750
 
751
always  @(posedge clk or posedge wb_rst_i)
752 45 gorban
        if (wb_rst_i) rda_int_pnd <= #1 0;
753
        else
754
                rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 :        // reset condition
755
                                                        rda_int_rise ? 1 :                                              // latch condition
756
                                                        rda_int_pnd && ier[`UART_IE_RDA];       // default operation: remove if masked
757
 
758
always  @(posedge clk or posedge wb_rst_i)
759 37 gorban
        if (wb_rst_i) thre_int_pnd <= #1 0;
760
        else
761 56 mohor
                thre_int_pnd <= #1 fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 0 :
762 37 gorban
                                                        thre_int_rise ? 1 :
763
                                                        thre_int_pnd && ier[`UART_IE_THRE];
764
 
765
always  @(posedge clk or posedge wb_rst_i)
766
        if (wb_rst_i) ms_int_pnd <= #1 0;
767
        else
768
                ms_int_pnd <= #1 msr_read ? 0 :
769
                                                        ms_int_rise ? 1 :
770
                                                        ms_int_pnd && ier[`UART_IE_MS];
771
 
772
always  @(posedge clk or posedge wb_rst_i)
773 42 mohor
        if (wb_rst_i) ti_int_pnd <= #1 0;
774 37 gorban
        else
775
                ti_int_pnd <= #1 fifo_read ? 0 :
776
                                                        ti_int_rise ? 1 :
777
                                                        ti_int_pnd && ier[`UART_IE_RDA];
778
// end of pending flags
779
 
780
// INT_O logic
781 27 mohor
always @(posedge clk or posedge wb_rst_i)
782
begin
783
        if (wb_rst_i)
784
                int_o <= #1 1'b0;
785
        else
786 37 gorban
                int_o <= #1
787
                                        rls_int_pnd             ?       ~lsr_mask                                       :
788 45 gorban
                                        rda_int_pnd             ? 1                                                             :
789 37 gorban
                                        ti_int_pnd              ? ~fifo_read                                    :
790 45 gorban
                                        thre_int_pnd    ? !(fifo_write & iir_read) :
791 37 gorban
                                        ms_int_pnd              ? ~msr_read                                             :
792
                                        0;       // if no interrupt are pending
793 27 mohor
end
794
 
795
 
796
// Interrupt Identification register
797
always @(posedge clk or posedge wb_rst_i)
798
begin
799
        if (wb_rst_i)
800
                iir <= #1 1;
801
        else
802 37 gorban
        if (rls_int_pnd)  // interrupt is pending
803 27 mohor
        begin
804
                iir[`UART_II_II] <= #1 `UART_II_RLS;    // set identification register to correct value
805
                iir[`UART_II_IP] <= #1 1'b0;            // and clear the IIR bit 0 (interrupt pending)
806 37 gorban
        end else // the sequence of conditions determines priority of interrupt identification
807 27 mohor
        if (rda_int)
808
        begin
809
                iir[`UART_II_II] <= #1 `UART_II_RDA;
810
                iir[`UART_II_IP] <= #1 1'b0;
811
        end
812 37 gorban
        else if (ti_int_pnd)
813 27 mohor
        begin
814
                iir[`UART_II_II] <= #1 `UART_II_TI;
815
                iir[`UART_II_IP] <= #1 1'b0;
816
        end
817 37 gorban
        else if (thre_int_pnd)
818 27 mohor
        begin
819
                iir[`UART_II_II] <= #1 `UART_II_THRE;
820
                iir[`UART_II_IP] <= #1 1'b0;
821
        end
822 37 gorban
        else if (ms_int_pnd)
823 27 mohor
        begin
824
                iir[`UART_II_II] <= #1 `UART_II_MS;
825
                iir[`UART_II_IP] <= #1 1'b0;
826 37 gorban
        end else        // no interrupt is pending
827 27 mohor
        begin
828 40 gorban
                iir[`UART_II_II] <= #1 0;
829 27 mohor
                iir[`UART_II_IP] <= #1 1'b1;
830
        end
831
end
832
 
833
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.