OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_rfifo.v] - Blame information for rev 87

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 gorban
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_rfifo.v (Modified from uart_fifo.v)                    ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  UART core receiver FIFO                                     ////
19
////                                                              ////
20
////  To Do:                                                      ////
21
////  Nothing.                                                    ////
22
////                                                              ////
23
////  Author(s):                                                  ////
24
////      - gorban@opencores.org                                  ////
25
////      - Jacob Gorban                                          ////
26
////      - Igor Mohor (igorm@opencores.org)                      ////
27
////                                                              ////
28
////  Created:        2001/05/12                                  ////
29
////  Last Updated:   2002/07/22                                  ////
30
////                  (See log for the revision history)          ////
31
////                                                              ////
32
////                                                              ////
33
//////////////////////////////////////////////////////////////////////
34
////                                                              ////
35
//// Copyright (C) 2000, 2001 Authors                             ////
36
////                                                              ////
37
//// This source file may be used and distributed without         ////
38
//// restriction provided that this copyright statement is not    ////
39
//// removed from the file and that any derivative work contains  ////
40
//// the original copyright notice and the associated disclaimer. ////
41
////                                                              ////
42
//// This source file is free software; you can redistribute it   ////
43
//// and/or modify it under the terms of the GNU Lesser General   ////
44
//// Public License as published by the Free Software Foundation; ////
45
//// either version 2.1 of the License, or (at your option) any   ////
46
//// later version.                                               ////
47
////                                                              ////
48
//// This source is distributed in the hope that it will be       ////
49
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
50
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
51
//// PURPOSE.  See the GNU Lesser General Public License for more ////
52
//// details.                                                     ////
53
////                                                              ////
54
//// You should have received a copy of the GNU Lesser General    ////
55
//// Public License along with this source; if not, download it   ////
56
//// from http://www.opencores.org/lgpl.shtml                     ////
57
////                                                              ////
58
//////////////////////////////////////////////////////////////////////
59
//
60
// CVS Revision History
61
//
62
// $Log: not supported by cvs2svn $
63 87 gorban
// Revision 1.2  2002/07/29 21:16:18  gorban
64
// The uart_defines.v file is included again in sources.
65
//
66 84 gorban
// Revision 1.1  2002/07/22 23:02:23  gorban
67
// Bug Fixes:
68
//  * Possible loss of sync and bad reception of stop bit on slow baud rates fixed.
69
//   Problem reported by Kenny.Tung.
70
//  * Bad (or lack of ) loopback handling fixed. Reported by Cherry Withers.
71
//
72
// Improvements:
73
//  * Made FIFO's as general inferrable memory where possible.
74
//  So on FPGA they should be inferred as RAM (Distributed RAM on Xilinx).
75
//  This saves about 1/3 of the Slice count and reduces P&R and synthesis times.
76
//
77
//  * Added optional baudrate output (baud_o).
78
//  This is identical to BAUDOUT* signal on 16550 chip.
79
//  It outputs 16xbit_clock_rate - the divided clock.
80
//  It's disabled by default. Define UART_HAS_BAUDRATE_OUTPUT to use.
81
//
82 79 gorban
// Revision 1.16  2001/12/20 13:25:46  mohor
83
// rx push changed to be only one cycle wide.
84
//
85
// Revision 1.15  2001/12/18 09:01:07  mohor
86
// Bug that was entered in the last update fixed (rx state machine).
87
//
88
// Revision 1.14  2001/12/17 14:46:48  mohor
89
// overrun signal was moved to separate block because many sequential lsr
90
// reads were preventing data from being written to rx fifo.
91
// underrun signal was not used and was removed from the project.
92
//
93
// Revision 1.13  2001/11/26 21:38:54  gorban
94
// Lots of fixes:
95
// Break condition wasn't handled correctly at all.
96
// LSR bits could lose their values.
97
// LSR value after reset was wrong.
98
// Timing of THRE interrupt signal corrected.
99
// LSR bit 0 timing corrected.
100
//
101
// Revision 1.12  2001/11/08 14:54:23  mohor
102
// Comments in Slovene language deleted, few small fixes for better work of
103
// old tools. IRQs need to be fix.
104
//
105
// Revision 1.11  2001/11/07 17:51:52  gorban
106
// Heavily rewritten interrupt and LSR subsystems.
107
// Many bugs hopefully squashed.
108
//
109
// Revision 1.10  2001/10/20 09:58:40  gorban
110
// Small synopsis fixes
111
//
112
// Revision 1.9  2001/08/24 21:01:12  mohor
113
// Things connected to parity changed.
114
// Clock devider changed.
115
//
116
// Revision 1.8  2001/08/24 08:48:10  mohor
117
// FIFO was not cleared after the data was read bug fixed.
118
//
119
// Revision 1.7  2001/08/23 16:05:05  mohor
120
// Stop bit bug fixed.
121
// Parity bug fixed.
122
// WISHBONE read cycle bug fixed,
123
// OE indicator (Overrun Error) bug fixed.
124
// PE indicator (Parity Error) bug fixed.
125
// Register read bug fixed.
126
//
127
// Revision 1.3  2001/05/31 20:08:01  gorban
128
// FIFO changes and other corrections.
129
//
130
// Revision 1.3  2001/05/27 17:37:48  gorban
131
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
132
//
133
// Revision 1.2  2001/05/17 18:34:18  gorban
134
// First 'stable' release. Should be sythesizable now. Also added new header.
135
//
136
// Revision 1.0  2001-05-17 21:27:12+02  jacob
137
// Initial revision
138
//
139
//
140
 
141
// synopsys translate_off
142
`include "timescale.v"
143
// synopsys translate_on
144
 
145 84 gorban
`include "uart_defines.v"
146 79 gorban
 
147
module uart_rfifo (clk,
148
        wb_rst_i, data_in, data_out,
149
// Control signals
150
        push, // push strobe, active high
151
        pop,   // pop strobe, active high
152
// status signals
153
        overrun,
154
        count,
155
        error_bit,
156
        fifo_reset,
157
        reset_status
158
        );
159
 
160
 
161
// FIFO parameters
162
parameter fifo_width = `UART_FIFO_WIDTH;
163
parameter fifo_depth = `UART_FIFO_DEPTH;
164
parameter fifo_pointer_w = `UART_FIFO_POINTER_W;
165
parameter fifo_counter_w = `UART_FIFO_COUNTER_W;
166
 
167
input                           clk;
168
input                           wb_rst_i;
169
input                           push;
170
input                           pop;
171
input   [fifo_width-1:0] data_in;
172
input                           fifo_reset;
173
input       reset_status;
174
 
175
output  [fifo_width-1:0] data_out;
176
output                          overrun;
177
output  [fifo_counter_w-1:0]     count;
178
output                          error_bit;
179
 
180
wire    [fifo_width-1:0] data_out;
181
wire [7:0] data8_out;
182
// flags FIFO
183
reg     [2:0]    fifo[fifo_depth-1:0];
184
 
185
// FIFO pointers
186
reg     [fifo_pointer_w-1:0]     top;
187
reg     [fifo_pointer_w-1:0]     bottom;
188
 
189
reg     [fifo_counter_w-1:0]     count;
190
reg                             overrun;
191
 
192
wire [fifo_pointer_w-1:0] top_plus_1 = top + 1'b1;
193
 
194
raminfr #(fifo_pointer_w,8,fifo_depth) rfifo
195
        (.clk(clk),
196
                        .we(push),
197
                        .a(top),
198
                        .dpra(bottom),
199
                        .di(data_in[fifo_width-1:fifo_width-8]),
200
                        .dpo(data8_out)
201
                );
202
 
203
always @(posedge clk or posedge wb_rst_i) // synchronous FIFO
204
begin
205
        if (wb_rst_i)
206
        begin
207
                top             <= #1 0;
208
                bottom          <= #1 1'b0;
209
                count           <= #1 0;
210
        end
211
        else
212
        if (fifo_reset) begin
213
                top             <= #1 0;
214
                bottom          <= #1 1'b0;
215
                count           <= #1 0;
216
        end
217
  else
218
        begin
219
                case ({push, pop})
220
                2'b10 : if (count<fifo_depth)  // overrun condition
221
                        begin
222
                                top       <= #1 top_plus_1;
223
                                fifo[top] <= #1 data_in[2:0];
224
                                count     <= #1 count + 1'b1;
225
                        end
226
                2'b01 : if(count>0)
227
                        begin
228
        fifo[bottom] <= #1 0;
229
                                bottom   <= #1 bottom + 1'b1;
230
                                count    <= #1 count - 1'b1;
231
                        end
232
                2'b11 : begin
233
                                bottom   <= #1 bottom + 1'b1;
234
                                top       <= #1 top_plus_1;
235
                                fifo[top] <= #1 data_in[2:0];
236
                        end
237
    default: ;
238
                endcase
239
        end
240
end   // always
241
 
242
always @(posedge clk or posedge wb_rst_i) // synchronous FIFO
243
begin
244
  if (wb_rst_i)
245
    overrun   <= #1 1'b0;
246
  else
247
  if(fifo_reset | reset_status)
248
    overrun   <= #1 1'b0;
249
  else
250 87 gorban
  if(push & ~pop & (count==fifo_depth))
251 79 gorban
    overrun   <= #1 1'b1;
252
end   // always
253
 
254
 
255
// please note though that data_out is only valid one clock after pop signal
256
assign data_out = {data8_out,fifo[bottom]};
257
 
258
// Additional logic for detection of error conditions (parity and framing) inside the FIFO
259
// for the Line Status Register bit 7
260
 
261
wire    [2:0]    word0 = fifo[0];
262
wire    [2:0]    word1 = fifo[1];
263
wire    [2:0]    word2 = fifo[2];
264
wire    [2:0]    word3 = fifo[3];
265
wire    [2:0]    word4 = fifo[4];
266
wire    [2:0]    word5 = fifo[5];
267
wire    [2:0]    word6 = fifo[6];
268
wire    [2:0]    word7 = fifo[7];
269
 
270
wire    [2:0]    word8 = fifo[8];
271
wire    [2:0]    word9 = fifo[9];
272
wire    [2:0]    word10 = fifo[10];
273
wire    [2:0]    word11 = fifo[11];
274
wire    [2:0]    word12 = fifo[12];
275
wire    [2:0]    word13 = fifo[13];
276
wire    [2:0]    word14 = fifo[14];
277
wire    [2:0]    word15 = fifo[15];
278
 
279
// a 1 is returned if any of the error bits in the fifo is 1
280
assign  error_bit = |(word0[2:0]  | word1[2:0]  | word2[2:0]  | word3[2:0]  |
281
                              word4[2:0]  | word5[2:0]  | word6[2:0]  | word7[2:0]  |
282
                              word8[2:0]  | word9[2:0]  | word10[2:0] | word11[2:0] |
283
                              word12[2:0] | word13[2:0] | word14[2:0] | word15[2:0] );
284
 
285
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.