OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_transmitter.v] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_transmitter.v                                          ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  UART core transmitter logic                                 ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  None known                                                  ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Thourough testing.                                          ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   2001/05/17                                  ////
33
////                  (See log for the revision history)          ////
34
////                                                              ////
35
////                                                              ////
36
//////////////////////////////////////////////////////////////////////
37
////                                                              ////
38 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
39 27 mohor
////                                                              ////
40
//// This source file may be used and distributed without         ////
41
//// restriction provided that this copyright statement is not    ////
42
//// removed from the file and that any derivative work contains  ////
43
//// the original copyright notice and the associated disclaimer. ////
44
////                                                              ////
45
//// This source file is free software; you can redistribute it   ////
46
//// and/or modify it under the terms of the GNU Lesser General   ////
47
//// Public License as published by the Free Software Foundation; ////
48
//// either version 2.1 of the License, or (at your option) any   ////
49
//// later version.                                               ////
50
////                                                              ////
51
//// This source is distributed in the hope that it will be       ////
52
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
53
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
54
//// PURPOSE.  See the GNU Lesser General Public License for more ////
55
//// details.                                                     ////
56
////                                                              ////
57
//// You should have received a copy of the GNU Lesser General    ////
58
//// Public License along with this source; if not, download it   ////
59
//// from http://www.opencores.org/lgpl.shtml                     ////
60
////                                                              ////
61
//////////////////////////////////////////////////////////////////////
62
//
63
// CVS Revision History
64
//
65
// $Log: not supported by cvs2svn $
66 37 gorban
// Revision 1.11  2001/10/29 17:00:46  gorban
67
// fixed parity sending and tx_fifo resets over- and underrun
68
//
69 34 gorban
// Revision 1.10  2001/10/20 09:58:40  gorban
70
// Small synopsis fixes
71
//
72 33 gorban
// Revision 1.9  2001/08/24 21:01:12  mohor
73
// Things connected to parity changed.
74
// Clock devider changed.
75
//
76 29 mohor
// Revision 1.8  2001/08/23 16:05:05  mohor
77
// Stop bit bug fixed.
78
// Parity bug fixed.
79
// WISHBONE read cycle bug fixed,
80
// OE indicator (Overrun Error) bug fixed.
81
// PE indicator (Parity Error) bug fixed.
82
// Register read bug fixed.
83
//
84 27 mohor
// Revision 1.6  2001/06/23 11:21:48  gorban
85
// DL made 16-bit long. Fixed transmission/reception bugs.
86
//
87
// Revision 1.5  2001/06/02 14:28:14  gorban
88
// Fixed receiver and transmitter. Major bug fixed.
89
//
90
// Revision 1.4  2001/05/31 20:08:01  gorban
91
// FIFO changes and other corrections.
92
//
93
// Revision 1.3  2001/05/27 17:37:49  gorban
94
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
95
//
96
// Revision 1.2  2001/05/21 19:12:02  gorban
97
// Corrected some Linter messages.
98
//
99
// Revision 1.1  2001/05/17 18:34:18  gorban
100
// First 'stable' release. Should be sythesizable now. Also added new header.
101
//
102
// Revision 1.0  2001-05-17 21:27:12+02  jacob
103
// Initial revision
104
//
105
//
106
 
107 33 gorban
// synopsys translate_off
108 27 mohor
`include "timescale.v"
109 33 gorban
// synopsys translate_on
110
 
111 27 mohor
`include "uart_defines.v"
112
 
113 37 gorban
module uart_transmitter (clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, state, tf_count, tx_reset, lsr_mask);
114 27 mohor
 
115 34 gorban
input                                                                           clk;
116
input                                                                           wb_rst_i;
117
input [7:0]                                                              lcr;
118
input                                                                           tf_push;
119
input [7:0]                                                              wb_dat_i;
120
input                                                                           enable;
121
input                                                                           tx_reset;
122 37 gorban
input                                                                           lsr_mask; //reset of fifo
123 34 gorban
output                                                                          stx_pad_o;
124
output [2:0]                                                             state;
125
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
126 27 mohor
 
127 34 gorban
reg [2:0]                                                                        state;
128
reg [4:0]                                                                        counter;
129
reg [2:0]                                                                        bit_counter;   // counts the bits to be sent
130
reg [6:0]                                                                        shift_out;      // output shift register
131
reg                                                                                     stx_o_tmp;
132
reg                                                                                     parity_xor;  // parity of the word
133
reg                                                                                     tf_pop;
134
reg                                                                                     bit_out;
135 27 mohor
 
136
// TX FIFO instance
137
//
138
// Transmitter FIFO signals
139 34 gorban
wire [`UART_FIFO_WIDTH-1:0]                      tf_data_in;
140
wire [`UART_FIFO_WIDTH-1:0]                      tf_data_out;
141
wire                                                                                    tf_push;
142
wire                                                                                    tf_underrun;
143
wire                                                                                    tf_overrun;
144
wire [`UART_FIFO_COUNTER_W-1:0]          tf_count;
145 27 mohor
 
146 34 gorban
assign                                                                          tf_data_in = wb_dat_i;
147 27 mohor
 
148
uart_fifo fifo_tx(      // error bit signal is not used in transmitter FIFO
149
        .clk(           clk             ),
150
        .wb_rst_i(      wb_rst_i        ),
151
        .data_in(       tf_data_in      ),
152
        .data_out(      tf_data_out     ),
153
        .push(          tf_push         ),
154
        .pop(           tf_pop          ),
155
        .underrun(      tf_underrun     ),
156
        .overrun(       tf_overrun      ),
157
        .count(         tf_count        ),
158
        .error_bit(),                 // Ta ni priklopljen. Prej je manjkal, dodal Igor
159
        .fifo_reset(    tx_reset        ),
160 37 gorban
        .reset_status(lsr_mask)
161 27 mohor
);
162
 
163
// TRANSMITTER FINAL STATE MACHINE
164
 
165
parameter s_idle        = 3'd0;
166
parameter s_send_start  = 3'd1;
167
parameter s_send_byte   = 3'd2;
168
parameter s_send_parity = 3'd3;
169
parameter s_send_stop   = 3'd4;
170
parameter s_pop_byte    = 3'd5;
171
 
172
always @(posedge clk or posedge wb_rst_i)
173
begin
174
  if (wb_rst_i)
175
  begin
176
        state       <= #1 s_idle;
177
        stx_o_tmp       <= #1 1'b1;
178
        counter   <= #1 5'b0;
179
        shift_out   <= #1 7'b0;
180
        bit_out     <= #1 1'b0;
181
        parity_xor  <= #1 1'b0;
182
        tf_pop      <= #1 1'b0;
183
        bit_counter <= #1 3'b0;
184
  end
185
  else
186
  if (enable)
187
  begin
188
        case (state)
189
        s_idle   :      if (~|tf_count) // if tf_count==0
190
                        begin
191
                                state <= #1 s_idle;
192
                                stx_o_tmp <= #1 1'b1;
193
                        end
194
                        else
195
                        begin
196
                                tf_pop <= #1 1'b0;
197
                                stx_o_tmp  <= #1 1'b1;
198
                                state  <= #1 s_pop_byte;
199
                        end
200
        s_pop_byte :    begin
201
                                tf_pop <= #1 1'b1;
202
                                case (lcr[/*`UART_LC_BITS*/1:0])  // number of bits in a word
203
                                2'b00 : begin
204
                                        bit_counter <= #1 3'b100;
205
                                        parity_xor  <= #1 ^tf_data_out[4:0];
206
                                     end
207
                                2'b01 : begin
208
                                        bit_counter <= #1 3'b101;
209
                                        parity_xor  <= #1 ^tf_data_out[5:0];
210
                                     end
211
                                2'b10 : begin
212
                                        bit_counter <= #1 3'b110;
213
                                        parity_xor  <= #1 ^tf_data_out[6:0];
214
                                     end
215
                                2'b11 : begin
216
                                        bit_counter <= #1 3'b111;
217
                                        parity_xor  <= #1 ^tf_data_out[7:0];
218
                                     end
219
                                endcase
220
                                {shift_out[6:0], bit_out} <= #1 tf_data_out;
221
                                state <= #1 s_send_start;
222
                        end
223
        s_send_start :  begin
224
                                tf_pop <= #1 1'b0;
225
                                if (~|counter)
226
                                        counter <= #1 5'b01111;
227
                                else
228
                                if (counter == 5'b00001)
229
                                begin
230
                                        counter <= #1 0;
231
                                        state <= #1 s_send_byte;
232
                                end
233
                                else
234
                                        counter <= #1 counter - 5'b00001;
235
                                stx_o_tmp <= #1 1'b0;
236
                        end
237
        s_send_byte :   begin
238
                                if (~|counter)
239
                                        counter <= #1 5'b01111;
240
                                else
241
                                if (counter == 5'b00001)
242
                                begin
243
                                        if (bit_counter > 3'b0)
244
                                        begin
245
                                                bit_counter <= #1 bit_counter - 1;
246
                                                {shift_out[5:0],bit_out  } <= #1 {shift_out[6:1], shift_out[0]};
247
                                                state <= #1 s_send_byte;
248
                                        end
249
                                        else   // end of byte
250
                                        if (~lcr[`UART_LC_PE])
251
                                        begin
252
                                                state <= #1 s_send_stop;
253
                                        end
254
                                        else
255
                                        begin
256
                                                case ({lcr[`UART_LC_EP],lcr[`UART_LC_SP]})
257 34 gorban
                                                2'b00:  bit_out <= #1 ~parity_xor;
258 27 mohor
                                                2'b01:  bit_out <= #1 1'b1;
259 34 gorban
                                                2'b10:  bit_out <= #1 parity_xor;
260 27 mohor
                                                2'b11:  bit_out <= #1 1'b0;
261
                                                endcase
262
                                                state <= #1 s_send_parity;
263
                                        end
264
                                        counter <= #1 0;
265
                                end
266
                                else
267
                                        counter <= #1 counter - 5'b00001;
268
                                stx_o_tmp <= #1 bit_out; // set output pin
269
                        end
270
        s_send_parity : begin
271
                                if (~|counter)
272
                                        counter <= #1 5'b01111;
273
                                else
274
                                if (counter == 5'b00001)
275
                                begin
276
                                        counter <= #1 4'b0;
277
                                        state <= #1 s_send_stop;
278
                                end
279
                                else
280
                                        counter <= #1 counter - 5'b00001;
281
                                stx_o_tmp <= #1 bit_out;
282
                        end
283
        s_send_stop :  begin
284
                                if (~|counter)
285
                                  begin
286
                                                casex ({lcr[`UART_LC_SB],lcr[`UART_LC_BITS]})
287
                                                3'b0xx:   counter <= #1 5'b01101;     // 1 stop bit ok igor
288
                                                3'b100:   counter <= #1 5'b10101;     // 1.5 stop bit
289
                                                3'b1xx:   counter <= #1 5'b11101;     // 2 stop bits
290
                                                endcase
291
                                        end
292
                                else
293
                                if (counter == 5'b00001)
294
                                begin
295
                                        counter <= #1 0;
296
                                        state <= #1 s_idle;
297
                                end
298
                                else
299
                                        counter <= #1 counter - 5'b00001;
300
                                stx_o_tmp <= #1 1'b1;
301
                        end
302
 
303
                default : // should never get here
304
                        state <= #1 s_idle;
305
        endcase
306
  end // end if enable
307
end // transmitter logic
308
 
309
assign stx_pad_o = lcr[`UART_LC_BC] ? 1'b0 : stx_o_tmp;    // Break condition
310
 
311
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.