OpenCores
URL https://opencores.org/ocsvn/uart16750/uart16750/trunk

Subversion Repositories uart16750

[/] [uart16750/] [trunk/] [syn/] [Altera/] [CycloneII/] [UART16750.map.rpt] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 16 hasw
Analysis & Synthesis report for UART16750
2
Tue Feb 17 23:02:31 2009
3
Quartus II Version 8.0 Build 215 05/29/2008 SJ Full Version
4
 
5
 
6
---------------------
7
; Table of Contents ;
8
---------------------
9
  1. Legal Notice
10
  2. Analysis & Synthesis Summary
11
  3. Analysis & Synthesis Settings
12
  4. Analysis & Synthesis Source Files Read
13
  5. Analysis & Synthesis Resource Usage Summary
14
  6. Analysis & Synthesis Resource Utilization by Entity
15
  7. Analysis & Synthesis RAM Summary
16
  8. State Machine - |UART16750|uart_16750:inst|\UART_TXPROC:State
17
  9. State Machine - |UART16750|uart_16750:inst|uart_receiver:UART_RX|CState
18
 10. State Machine - |UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState
19
 11. General Register Statistics
20
 12. Inverted Register Statistics
21
 13. Multiplexer Restructuring Statistics (Restructuring Performed)
22
 14. Source assignments for uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|altsyncram_t681:FIFOram
23
 15. Source assignments for uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|altsyncram_h981:FIFOram
24
 16. Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_CTS
25
 17. Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_DSR
26
 18. Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_DCD
27
 19. Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_RI
28
 20. Parameter Settings for User Entity Instance: uart_16750:inst|slib_clock_div:UART_BG2
29
 21. Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_TXFF
30
 22. Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component
31
 23. Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_RXFF
32
 24. Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component
33
 25. Parameter Settings for User Entity Instance: uart_16750:inst|uart_receiver:UART_RX|slib_counter:RX_BRC
34
 26. Parameter Settings for User Entity Instance: uart_16750:inst|uart_receiver:UART_RX|slib_mv_filter:RX_MVF
35
 27. Parameter Settings for User Entity Instance: slib_clock_div:inst2
36
 28. scfifo Parameter Settings by Entity Instance
37
 29. Analysis & Synthesis Messages
38
 30. Analysis & Synthesis Suppressed Messages
39
 
40
 
41
 
42
----------------
43
; Legal Notice ;
44
----------------
45
Copyright (C) 1991-2008 Altera Corporation
46
Your use of Altera Corporation's design tools, logic functions
47
and other software and tools, and its AMPP partner logic
48
functions, and any output files from any of the foregoing
49
(including device programming or simulation files), and any
50
associated documentation or information are expressly subject
51
to the terms and conditions of the Altera Program License
52
Subscription Agreement, Altera MegaCore Function License
53
Agreement, or other applicable license agreement, including,
54
without limitation, that your use is for the sole purpose of
55
programming logic devices manufactured by Altera and sold by
56
Altera or its authorized distributors.  Please refer to the
57
applicable agreement for further details.
58
 
59
 
60
 
61
+-------------------------------------------------------------------------------+
62
; Analysis & Synthesis Summary                                                  ;
63
+------------------------------------+------------------------------------------+
64
; Analysis & Synthesis Status        ; Successful - Tue Feb 17 23:02:31 2009    ;
65
; Quartus II Version                 ; 8.0 Build 215 05/29/2008 SJ Full Version ;
66
; Revision Name                      ; UART16750                                ;
67
; Top-level Entity Name              ; UART16750                                ;
68
; Family                             ; Cyclone II                               ;
69
; Total logic elements               ; 417                                      ;
70
;     Total combinational functions  ; 417                                      ;
71
;     Dedicated logic registers      ; 293                                      ;
72
; Total registers                    ; 293                                      ;
73
; Total pins                         ; 36                                       ;
74
; Total virtual pins                 ; 0                                        ;
75
; Total memory bits                  ; 1,216                                    ;
76
; Embedded Multiplier 9-bit elements ; 0                                        ;
77
; Total PLLs                         ; 0                                        ;
78
+------------------------------------+------------------------------------------+
79
 
80
 
81
+--------------------------------------------------------------------------------------------------------+
82
; Analysis & Synthesis Settings                                                                          ;
83
+--------------------------------------------------------------+--------------------+--------------------+
84
; Option                                                       ; Setting            ; Default Value      ;
85
+--------------------------------------------------------------+--------------------+--------------------+
86
; Device                                                       ; EP2C5F256C6        ;                    ;
87
; Top-level entity name                                        ; UART16750          ; UART16750          ;
88
; Family name                                                  ; Cyclone II         ; Stratix II         ;
89
; Use Generated Physical Constraints File                      ; Off                ;                    ;
90
; Use smart compilation                                        ; Off                ; Off                ;
91
; Maximum processors allowed for parallel compilation          ; 1                  ; 1                  ;
92
; Restructure Multiplexers                                     ; Auto               ; Auto               ;
93
; Create Debugging Nodes for IP Cores                          ; Off                ; Off                ;
94
; Preserve fewer node names                                    ; On                 ; On                 ;
95
; Disable OpenCore Plus hardware evaluation                    ; Off                ; Off                ;
96
; Verilog Version                                              ; Verilog_2001       ; Verilog_2001       ;
97
; VHDL Version                                                 ; VHDL93             ; VHDL93             ;
98
; State Machine Processing                                     ; Auto               ; Auto               ;
99
; Safe State Machine                                           ; Off                ; Off                ;
100
; Extract Verilog State Machines                               ; On                 ; On                 ;
101
; Extract VHDL State Machines                                  ; On                 ; On                 ;
102
; Ignore Verilog initial constructs                            ; Off                ; Off                ;
103
; Iteration limit for constant Verilog loops                   ; 5000               ; 5000               ;
104
; Iteration limit for non-constant Verilog loops               ; 250                ; 250                ;
105
; Add Pass-Through Logic to Inferred RAMs                      ; On                 ; On                 ;
106
; Parallel Synthesis                                           ; Off                ; Off                ;
107
; DSP Block Balancing                                          ; Auto               ; Auto               ;
108
; NOT Gate Push-Back                                           ; On                 ; On                 ;
109
; Power-Up Don't Care                                          ; On                 ; On                 ;
110
; Remove Redundant Logic Cells                                 ; Off                ; Off                ;
111
; Remove Duplicate Registers                                   ; On                 ; On                 ;
112
; Ignore CARRY Buffers                                         ; Off                ; Off                ;
113
; Ignore CASCADE Buffers                                       ; Off                ; Off                ;
114
; Ignore GLOBAL Buffers                                        ; Off                ; Off                ;
115
; Ignore ROW GLOBAL Buffers                                    ; Off                ; Off                ;
116
; Ignore LCELL Buffers                                         ; Off                ; Off                ;
117
; Ignore SOFT Buffers                                          ; On                 ; On                 ;
118
; Limit AHDL Integers to 32 Bits                               ; Off                ; Off                ;
119
; Optimization Technique                                       ; Balanced           ; Balanced           ;
120
; Carry Chain Length                                           ; 70                 ; 70                 ;
121
; Auto Carry Chains                                            ; On                 ; On                 ;
122
; Auto Open-Drain Pins                                         ; On                 ; On                 ;
123
; Perform WYSIWYG Primitive Resynthesis                        ; Off                ; Off                ;
124
; Perform gate-level register retiming                         ; Off                ; Off                ;
125
; Allow register retiming to trade off Tsu/Tco with Fmax       ; On                 ; On                 ;
126
; Auto ROM Replacement                                         ; On                 ; On                 ;
127
; Auto RAM Replacement                                         ; On                 ; On                 ;
128
; Auto Shift Register Replacement                              ; Auto               ; Auto               ;
129
; Auto Clock Enable Replacement                                ; On                 ; On                 ;
130
; Strict RAM Replacement                                       ; Off                ; Off                ;
131
; Allow Synchronous Control Signals                            ; On                 ; On                 ;
132
; Force Use of Synchronous Clear Signals                       ; Off                ; Off                ;
133
; Auto RAM to Logic Cell Conversion                            ; Off                ; Off                ;
134
; Auto Resource Sharing                                        ; Off                ; Off                ;
135
; Allow Any RAM Size For Recognition                           ; Off                ; Off                ;
136
; Allow Any ROM Size For Recognition                           ; Off                ; Off                ;
137
; Allow Any Shift Register Size For Recognition                ; Off                ; Off                ;
138
; Ignore translate_off and synthesis_off directives            ; Off                ; Off                ;
139
; Show Parameter Settings Tables in Synthesis Report           ; On                 ; On                 ;
140
; Ignore Maximum Fan-Out Assignments                           ; Off                ; Off                ;
141
; Synchronization Register Chain Length                        ; 2                  ; 2                  ;
142
; PowerPlay Power Optimization                                 ; Normal compilation ; Normal compilation ;
143
; HDL message level                                            ; Level2             ; Level2             ;
144
; Suppress Register Optimization Related Messages              ; Off                ; Off                ;
145
; Number of Removed Registers Reported in Synthesis Report     ; 100                ; 100                ;
146
; Number of Inverted Registers Reported in Synthesis Report    ; 100                ; 100                ;
147
; Clock MUX Protection                                         ; On                 ; On                 ;
148
; Block Design Naming                                          ; Auto               ; Auto               ;
149
; SDC constraint protection                                    ; Off                ; Off                ;
150
; Synthesis Effort                                             ; Auto               ; Auto               ;
151
; Shift Register Replacement - Allow Asynchronous Clear Signal ; On                 ; On                 ;
152
+--------------------------------------------------------------+--------------------+--------------------+
153
 
154
 
155
+--------------------------------------------------------------------------------------------------------------------------------------------------------------+
156
; Analysis & Synthesis Source Files Read                                                                                                                       ;
157
+------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------+
158
; File Name with User-Entered Path         ; Used in Netlist ; File Type                          ; File Name with Absolute Path                               ;
159
+------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------+
160
; ../../../rtl/vhdl/uart_transmitter.vhd   ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/uart_transmitter.vhd                 ;
161
; ../../../rtl/vhdl/slib_clock_div.vhd     ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_clock_div.vhd                   ;
162
; ../../../rtl/vhdl/slib_counter.vhd       ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_counter.vhd                     ;
163
; ../../../rtl/vhdl/slib_edge_detect.vhd   ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_edge_detect.vhd                 ;
164
; ../../../rtl/vhdl/slib_fifo_cyclone2.vhd ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_fifo_cyclone2.vhd               ;
165
; ../../../rtl/vhdl/slib_input_filter.vhd  ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_input_filter.vhd                ;
166
; ../../../rtl/vhdl/slib_input_sync.vhd    ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_input_sync.vhd                  ;
167
; ../../../rtl/vhdl/slib_mv_filter.vhd     ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/slib_mv_filter.vhd                   ;
168
; ../../../rtl/vhdl/uart_16750.vhd         ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/uart_16750.vhd                       ;
169
; ../../../rtl/vhdl/uart_baudgen.vhd       ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/uart_baudgen.vhd                     ;
170
; ../../../rtl/vhdl/uart_interrupt.vhd     ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/uart_interrupt.vhd                   ;
171
; ../../../rtl/vhdl/uart_receiver.vhd      ; yes             ; User VHDL File                     ; R:/uart16750/rtl/vhdl/uart_receiver.vhd                    ;
172
; UART16750.bdf                            ; yes             ; User Block Diagram/Schematic File  ; R:/uart16750/syn/Altera/CycloneII/UART16750.bdf            ;
173
; scfifo.tdf                               ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/scfifo.tdf    ;
174
; a_regfifo.inc                            ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/a_regfifo.inc ;
175
; a_dpfifo.inc                             ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/a_dpfifo.inc  ;
176
; a_i2fifo.inc                             ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/a_i2fifo.inc  ;
177
; a_fffifo.inc                             ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/a_fffifo.inc  ;
178
; a_f2fifo.inc                             ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/a_f2fifo.inc  ;
179
; aglobal80.inc                            ; yes             ; Megafunction                       ; r:/altera/80/quartus/libraries/megafunctions/aglobal80.inc ;
180
; db/scfifo_an31.tdf                       ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/scfifo_an31.tdf       ;
181
; db/a_dpfifo_te31.tdf                     ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/a_dpfifo_te31.tdf     ;
182
; db/altsyncram_t681.tdf                   ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/altsyncram_t681.tdf   ;
183
; db/cntr_c5b.tdf                          ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/cntr_c5b.tdf          ;
184
; db/cntr_p57.tdf                          ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/cntr_p57.tdf          ;
185
; db/cntr_d5b.tdf                          ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/cntr_d5b.tdf          ;
186
; db/scfifo_ko31.tdf                       ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/scfifo_ko31.tdf       ;
187
; db/a_dpfifo_7g31.tdf                     ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/a_dpfifo_7g31.tdf     ;
188
; db/altsyncram_h981.tdf                   ; yes             ; Auto-Generated Megafunction        ; R:/uart16750/syn/Altera/CycloneII/db/altsyncram_h981.tdf   ;
189
+------------------------------------------+-----------------+------------------------------------+------------------------------------------------------------+
190
 
191
 
192
+-----------------------------------------------------+
193
; Analysis & Synthesis Resource Usage Summary         ;
194
+---------------------------------------------+-------+
195
; Resource                                    ; Usage ;
196
+---------------------------------------------+-------+
197
; Estimated Total logic elements              ; 417   ;
198
;                                             ;       ;
199
; Total combinational functions               ; 417   ;
200
; Logic element usage by number of LUT inputs ;       ;
201
;     -- 4 input functions                    ; 223   ;
202
;     -- 3 input functions                    ; 72    ;
203
;     -- <=2 input functions                  ; 122   ;
204
;                                             ;       ;
205
; Logic elements by mode                      ;       ;
206
;     -- normal mode                          ; 348   ;
207
;     -- arithmetic mode                      ; 69    ;
208
;                                             ;       ;
209
; Total registers                             ; 293   ;
210
;     -- Dedicated logic registers            ; 293   ;
211
;     -- I/O registers                        ; 0     ;
212
;                                             ;       ;
213
; I/O pins                                    ; 36    ;
214
; Total memory bits                           ; 1216  ;
215
; Maximum fan-out node                        ; CLK   ;
216
; Maximum fan-out                             ; 312   ;
217
; Total fan-out                               ; 2682  ;
218
; Average fan-out                             ; 3.51  ;
219
+---------------------------------------------+-------+
220
 
221
 
222
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
223
; Analysis & Synthesis Resource Utilization by Entity                                                                                                                                                                                                                                                                     ;
224
+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
225
; Compilation Hierarchy Node                  ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name                                                                                                                            ; Library Name ;
226
+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
227
; |UART16750                                  ; 417 (1)           ; 293 (3)      ; 1216        ; 0            ; 0       ; 0         ; 36   ; 0            ; |UART16750                                                                                                                                     ; work         ;
228
;    |slib_clock_div:inst2|                   ; 9 (9)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|slib_clock_div:inst2                                                                                                                ; work         ;
229
;    |uart_16750:inst|                        ; 407 (150)         ; 284 (120)    ; 1216        ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst                                                                                                                     ; work         ;
230
;       |slib_clock_div:UART_BG2|             ; 4 (4)             ; 4 (4)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_clock_div:UART_BG2                                                                                             ; work         ;
231
;       |slib_edge_detect:UART_BIDET|         ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_BIDET                                                                                         ; work         ;
232
;       |slib_edge_detect:UART_ED_CTS|        ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_CTS                                                                                        ; work         ;
233
;       |slib_edge_detect:UART_ED_DCD|        ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_DCD                                                                                        ; work         ;
234
;       |slib_edge_detect:UART_ED_DSR|        ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_DSR                                                                                        ; work         ;
235
;       |slib_edge_detect:UART_ED_READ|       ; 1 (1)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_READ                                                                                       ; work         ;
236
;       |slib_edge_detect:UART_ED_RI|         ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_RI                                                                                         ; work         ;
237
;       |slib_edge_detect:UART_ED_WRITE|      ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_ED_WRITE                                                                                      ; work         ;
238
;       |slib_edge_detect:UART_FEDET|         ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_FEDET                                                                                         ; work         ;
239
;       |slib_edge_detect:UART_IIC_THRE_ED|   ; 1 (1)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_IIC_THRE_ED                                                                                   ; work         ;
240
;       |slib_edge_detect:UART_PEDET|         ; 0 (0)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_PEDET                                                                                         ; work         ;
241
;       |slib_edge_detect:UART_RCLK|          ; 1 (1)             ; 1 (1)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_edge_detect:UART_RCLK                                                                                          ; work         ;
242
;       |slib_fifo:UART_RXFF|                 ; 49 (0)            ; 29 (0)       ; 704         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF                                                                                                 ; work         ;
243
;          |scfifo:scfifo_component|          ; 49 (0)            ; 29 (0)       ; 704         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component                                                                         ; work         ;
244
;             |scfifo_ko31:auto_generated|    ; 49 (0)            ; 29 (0)       ; 704         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated                                              ; work         ;
245
;                |a_dpfifo_7g31:dpfifo|       ; 49 (29)           ; 29 (12)      ; 704         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo                         ; work         ;
246
;                   |altsyncram_h981:FIFOram| ; 0 (0)             ; 0 (0)        ; 704         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|altsyncram_h981:FIFOram ; work         ;
247
;                   |cntr_c5b:rd_ptr_msb|     ; 6 (6)             ; 5 (5)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|cntr_c5b:rd_ptr_msb     ; work         ;
248
;                   |cntr_d5b:wr_ptr|         ; 7 (7)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|cntr_d5b:wr_ptr         ; work         ;
249
;                   |cntr_p57:usedw_counter|  ; 7 (7)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|cntr_p57:usedw_counter  ; work         ;
250
;       |slib_fifo:UART_TXFF|                 ; 50 (0)            ; 29 (0)       ; 512         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF                                                                                                 ; work         ;
251
;          |scfifo:scfifo_component|          ; 50 (0)            ; 29 (0)       ; 512         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component                                                                         ; work         ;
252
;             |scfifo_an31:auto_generated|    ; 50 (0)            ; 29 (0)       ; 512         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated                                              ; work         ;
253
;                |a_dpfifo_te31:dpfifo|       ; 50 (30)           ; 29 (12)      ; 512         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo                         ; work         ;
254
;                   |altsyncram_t681:FIFOram| ; 0 (0)             ; 0 (0)        ; 512         ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|altsyncram_t681:FIFOram ; work         ;
255
;                   |cntr_c5b:rd_ptr_msb|     ; 6 (6)             ; 5 (5)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_c5b:rd_ptr_msb     ; work         ;
256
;                   |cntr_d5b:wr_ptr|         ; 7 (7)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_d5b:wr_ptr         ; work         ;
257
;                   |cntr_p57:usedw_counter|  ; 7 (7)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_p57:usedw_counter  ; work         ;
258
;       |slib_input_filter:UART_IF_CTS|       ; 3 (3)             ; 3 (3)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_CTS                                                                                       ; work         ;
259
;       |slib_input_filter:UART_IF_DCD|       ; 3 (3)             ; 3 (3)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_DCD                                                                                       ; work         ;
260
;       |slib_input_filter:UART_IF_DSR|       ; 3 (3)             ; 3 (3)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_DSR                                                                                       ; work         ;
261
;       |slib_input_filter:UART_IF_RI|        ; 3 (3)             ; 3 (3)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_RI                                                                                        ; work         ;
262
;       |slib_input_sync:UART_IS_CTS|         ; 0 (0)             ; 2 (2)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_sync:UART_IS_CTS                                                                                         ; work         ;
263
;       |slib_input_sync:UART_IS_DCD|         ; 0 (0)             ; 2 (2)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_sync:UART_IS_DCD                                                                                         ; work         ;
264
;       |slib_input_sync:UART_IS_DSR|         ; 0 (0)             ; 2 (2)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_sync:UART_IS_DSR                                                                                         ; work         ;
265
;       |slib_input_sync:UART_IS_RI|          ; 0 (0)             ; 2 (2)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_sync:UART_IS_RI                                                                                          ; work         ;
266
;       |slib_input_sync:UART_IS_SIN|         ; 0 (0)             ; 2 (2)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|slib_input_sync:UART_IS_SIN                                                                                         ; work         ;
267
;       |uart_baudgen:UART_BG16|              ; 27 (27)           ; 17 (17)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_baudgen:UART_BG16                                                                                              ; work         ;
268
;       |uart_interrupt:UART_IIC|             ; 12 (12)           ; 4 (4)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_interrupt:UART_IIC                                                                                             ; work         ;
269
;       |uart_receiver:UART_RX|               ; 66 (47)           ; 32 (21)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_receiver:UART_RX                                                                                               ; work         ;
270
;          |slib_counter:RX_BRC|              ; 10 (10)           ; 5 (5)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_receiver:UART_RX|slib_counter:RX_BRC                                                                           ; work         ;
271
;          |slib_mv_filter:RX_MVF|            ; 9 (9)             ; 6 (6)        ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_receiver:UART_RX|slib_mv_filter:RX_MVF                                                                         ; work         ;
272
;       |uart_transmitter:UART_TX|            ; 34 (34)           ; 16 (16)      ; 0           ; 0            ; 0       ; 0         ; 0    ; 0            ; |UART16750|uart_16750:inst|uart_transmitter:UART_TX                                                                                            ; work         ;
273
+---------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+------------------------------------------------------------------------------------------------------------------------------------------------+--------------+
274
Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
275
 
276
 
277
+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
278
; Analysis & Synthesis RAM Summary                                                                                                                                                                                                                   ;
279
+------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+
280
; Name                                                                                                                                           ; Type ; Mode             ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF  ;
281
+------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+
282
; uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|altsyncram_h981:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 64           ; 11           ; 64           ; 11           ; 704  ; None ;
283
; uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|altsyncram_t681:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 64           ; 8            ; 64           ; 8            ; 512  ; None ;
284
+------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+
285
 
286
 
287
Encoding Type:  One-Hot
288
+-----------------------------------------------------------------------------------------------------------------------------------------+
289
; State Machine - |UART16750|uart_16750:inst|\UART_TXPROC:State                                                                           ;
290
+----------------------------+--------------------------+--------------------------+----------------------------+-------------------------+
291
; Name                       ; \UART_TXPROC:State.txend ; \UART_TXPROC:State.txrun ; \UART_TXPROC:State.txstart ; \UART_TXPROC:State.idle ;
292
+----------------------------+--------------------------+--------------------------+----------------------------+-------------------------+
293
; \UART_TXPROC:State.idle    ; 0                        ; 0                        ; 0                          ; 0                       ;
294
; \UART_TXPROC:State.txstart ; 0                        ; 0                        ; 1                          ; 1                       ;
295
; \UART_TXPROC:State.txrun   ; 0                        ; 1                        ; 0                          ; 1                       ;
296
; \UART_TXPROC:State.txend   ; 1                        ; 0                        ; 0                          ; 1                       ;
297
+----------------------------+--------------------------+--------------------------+----------------------------+-------------------------+
298
 
299
 
300
Encoding Type:  One-Hot
301
+---------------------------------------------------------------------------------------------------+
302
; State Machine - |UART16750|uart_16750:inst|uart_receiver:UART_RX|CState                           ;
303
+--------------+--------------+-------------+------------+-------------+--------------+-------------+
304
; Name         ; CState.mwait ; CState.stop ; CState.par ; CState.data ; CState.start ; CState.idle ;
305
+--------------+--------------+-------------+------------+-------------+--------------+-------------+
306
; CState.idle  ; 0            ; 0           ; 0          ; 0           ; 0            ; 0           ;
307
; CState.start ; 0            ; 0           ; 0          ; 0           ; 1            ; 1           ;
308
; CState.data  ; 0            ; 0           ; 0          ; 1           ; 0            ; 1           ;
309
; CState.par   ; 0            ; 0           ; 1          ; 0           ; 0            ; 1           ;
310
; CState.stop  ; 0            ; 1           ; 0          ; 0           ; 0            ; 1           ;
311
; CState.mwait ; 1            ; 0           ; 0          ; 0           ; 0            ; 1           ;
312
+--------------+--------------+-------------+------------+-------------+--------------+-------------+
313
 
314
 
315
Encoding Type:  One-Hot
316
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
317
; State Machine - |UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState                                                                                                                          ;
318
+--------------+--------------+-------------+------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+--------------+-------------+
319
; Name         ; CState.stop2 ; CState.stop ; CState.par ; CState.bit7 ; CState.bit6 ; CState.bit5 ; CState.bit4 ; CState.bit3 ; CState.bit2 ; CState.bit1 ; CState.bit0 ; CState.start ; CState.idle ;
320
+--------------+--------------+-------------+------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+--------------+-------------+
321
; CState.idle  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 0           ;
322
; CState.start ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 1            ; 1           ;
323
; CState.bit0  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 1           ; 0            ; 1           ;
324
; CState.bit1  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 1           ; 0           ; 0            ; 1           ;
325
; CState.bit2  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 1           ; 0           ; 0           ; 0            ; 1           ;
326
; CState.bit3  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 1           ; 0           ; 0           ; 0           ; 0            ; 1           ;
327
; CState.bit4  ; 0            ; 0           ; 0          ; 0           ; 0           ; 0           ; 1           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
328
; CState.bit5  ; 0            ; 0           ; 0          ; 0           ; 0           ; 1           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
329
; CState.bit6  ; 0            ; 0           ; 0          ; 0           ; 1           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
330
; CState.bit7  ; 0            ; 0           ; 0          ; 1           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
331
; CState.par   ; 0            ; 0           ; 1          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
332
; CState.stop  ; 0            ; 1           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
333
; CState.stop2 ; 1            ; 0           ; 0          ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0           ; 0            ; 1           ;
334
+--------------+--------------+-------------+------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+--------------+-------------+
335
 
336
 
337
+------------------------------------------------------+
338
; General Register Statistics                          ;
339
+----------------------------------------------+-------+
340
; Statistic                                    ; Value ;
341
+----------------------------------------------+-------+
342
; Total registers                              ; 293   ;
343
; Number of registers using Synchronous Clear  ; 42    ;
344
; Number of registers using Synchronous Load   ; 34    ;
345
; Number of registers using Asynchronous Clear ; 232   ;
346
; Number of registers using Asynchronous Load  ; 0     ;
347
; Number of registers using Clock Enable       ; 120   ;
348
; Number of registers using Preset             ; 0     ;
349
+----------------------------------------------+-------+
350
 
351
 
352
+-----------------------------------------------------------+
353
; Inverted Register Statistics                              ;
354
+-------------------------------------------------+---------+
355
; Inverted Register                               ; Fan out ;
356
+-------------------------------------------------+---------+
357
; uart_16750:inst|uart_interrupt:UART_IIC|iIIR[0] ; 2       ;
358
; Total number of inverted registers = 1          ;         ;
359
+-------------------------------------------------+---------+
360
 
361
 
362
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
363
; Multiplexer Restructuring Statistics (Restructuring Performed)                                                                                                                                   ;
364
+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------+
365
; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output                                                         ;
366
+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------+
367
; 3:1                ; 2 bits    ; 4 LEs         ; 0 LEs                ; 4 LEs                  ; Yes        ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_DCD|iCount[1]                 ;
368
; 3:1                ; 2 bits    ; 4 LEs         ; 0 LEs                ; 4 LEs                  ; Yes        ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_RI|iCount[0]                  ;
369
; 3:1                ; 2 bits    ; 4 LEs         ; 0 LEs                ; 4 LEs                  ; Yes        ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_DSR|iCount[1]                 ;
370
; 3:1                ; 2 bits    ; 4 LEs         ; 0 LEs                ; 4 LEs                  ; Yes        ; |UART16750|uart_16750:inst|slib_input_filter:UART_IF_CTS|iCount[1]                 ;
371
; 3:1                ; 5 bits    ; 10 LEs        ; 5 LEs                ; 5 LEs                  ; Yes        ; |UART16750|uart_16750:inst|uart_receiver:UART_RX|slib_mv_filter:RX_MVF|iCounter[3] ;
372
; 3:1                ; 7 bits    ; 14 LEs        ; 7 LEs                ; 7 LEs                  ; Yes        ; |UART16750|uart_16750:inst|iFECounter[5]                                           ;
373
; 4:1                ; 6 bits    ; 12 LEs        ; 6 LEs                ; 6 LEs                  ; No         ; |UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState~29                      ;
374
; 10:1               ; 2 bits    ; 12 LEs        ; 12 LEs               ; 0 LEs                  ; No         ; |UART16750|uart_16750:inst|Mux0                                                    ;
375
; 10:1               ; 4 bits    ; 24 LEs        ; 24 LEs               ; 0 LEs                  ; No         ; |UART16750|uart_16750:inst|Mux5                                                    ;
376
+--------------------+-----------+---------------+----------------------+------------------------+------------+------------------------------------------------------------------------------------+
377
 
378
 
379
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
380
; Source assignments for uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|altsyncram_t681:FIFOram ;
381
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
382
; Assignment                      ; Value              ; From ; To                                                                                           ;
383
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
384
; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                                                            ;
385
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
386
 
387
 
388
+------------------------------------------------------------------------------------------------------------------------------------------------------------+
389
; Source assignments for uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|altsyncram_h981:FIFOram ;
390
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
391
; Assignment                      ; Value              ; From ; To                                                                                           ;
392
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
393
; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; -    ; -                                                                                            ;
394
+---------------------------------+--------------------+------+----------------------------------------------------------------------------------------------+
395
 
396
 
397
+--------------------------------------------------------------------------------------------+
398
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_CTS ;
399
+----------------+-------+-------------------------------------------------------------------+
400
; Parameter Name ; Value ; Type                                                              ;
401
+----------------+-------+-------------------------------------------------------------------+
402
; size           ; 2     ; Signed Integer                                                    ;
403
+----------------+-------+-------------------------------------------------------------------+
404
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
405
 
406
 
407
+--------------------------------------------------------------------------------------------+
408
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_DSR ;
409
+----------------+-------+-------------------------------------------------------------------+
410
; Parameter Name ; Value ; Type                                                              ;
411
+----------------+-------+-------------------------------------------------------------------+
412
; size           ; 2     ; Signed Integer                                                    ;
413
+----------------+-------+-------------------------------------------------------------------+
414
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
415
 
416
 
417
+--------------------------------------------------------------------------------------------+
418
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_DCD ;
419
+----------------+-------+-------------------------------------------------------------------+
420
; Parameter Name ; Value ; Type                                                              ;
421
+----------------+-------+-------------------------------------------------------------------+
422
; size           ; 2     ; Signed Integer                                                    ;
423
+----------------+-------+-------------------------------------------------------------------+
424
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
425
 
426
 
427
+-------------------------------------------------------------------------------------------+
428
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_input_filter:UART_IF_RI ;
429
+----------------+-------+------------------------------------------------------------------+
430
; Parameter Name ; Value ; Type                                                             ;
431
+----------------+-------+------------------------------------------------------------------+
432
; size           ; 2     ; Signed Integer                                                   ;
433
+----------------+-------+------------------------------------------------------------------+
434
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
435
 
436
 
437
+--------------------------------------------------------------------------------------+
438
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_clock_div:UART_BG2 ;
439
+----------------+-------+-------------------------------------------------------------+
440
; Parameter Name ; Value ; Type                                                        ;
441
+----------------+-------+-------------------------------------------------------------+
442
; ratio          ; 8     ; Signed Integer                                              ;
443
+----------------+-------+-------------------------------------------------------------+
444
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
445
 
446
 
447
+----------------------------------------------------------------------------------+
448
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_TXFF ;
449
+----------------+-------+---------------------------------------------------------+
450
; Parameter Name ; Value ; Type                                                    ;
451
+----------------+-------+---------------------------------------------------------+
452
; width          ; 8     ; Signed Integer                                          ;
453
; size_e         ; 6     ; Signed Integer                                          ;
454
+----------------+-------+---------------------------------------------------------+
455
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
456
 
457
 
458
+----------------------------------------------------------------------------------------------------------+
459
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component ;
460
+-------------------------+-------------+------------------------------------------------------------------+
461
; Parameter Name          ; Value       ; Type                                                             ;
462
+-------------------------+-------------+------------------------------------------------------------------+
463
; AUTO_CARRY_CHAINS       ; ON          ; AUTO_CARRY                                                       ;
464
; IGNORE_CARRY_BUFFERS    ; OFF         ; IGNORE_CARRY                                                     ;
465
; AUTO_CASCADE_CHAINS     ; ON          ; AUTO_CASCADE                                                     ;
466
; IGNORE_CASCADE_BUFFERS  ; OFF         ; IGNORE_CASCADE                                                   ;
467
; lpm_width               ; 8           ; Signed Integer                                                   ;
468
; LPM_NUMWORDS            ; 64          ; Signed Integer                                                   ;
469
; LPM_WIDTHU              ; 6           ; Signed Integer                                                   ;
470
; LPM_SHOWAHEAD           ; ON          ; Untyped                                                          ;
471
; UNDERFLOW_CHECKING      ; ON          ; Untyped                                                          ;
472
; OVERFLOW_CHECKING       ; ON          ; Untyped                                                          ;
473
; ALLOW_RWCYCLE_WHEN_FULL ; OFF         ; Untyped                                                          ;
474
; ADD_RAM_OUTPUT_REGISTER ; OFF         ; Untyped                                                          ;
475
; ALMOST_FULL_VALUE       ; 0           ; Untyped                                                          ;
476
; ALMOST_EMPTY_VALUE      ; 0           ; Untyped                                                          ;
477
; USE_EAB                 ; ON          ; Untyped                                                          ;
478
; MAXIMIZE_SPEED          ; 5           ; Untyped                                                          ;
479
; DEVICE_FAMILY           ; Cyclone II  ; Untyped                                                          ;
480
; OPTIMIZE_FOR_SPEED      ; 5           ; Untyped                                                          ;
481
; CBXI_PARAMETER          ; scfifo_an31 ; Untyped                                                          ;
482
+-------------------------+-------------+------------------------------------------------------------------+
483
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
484
 
485
 
486
+----------------------------------------------------------------------------------+
487
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_RXFF ;
488
+----------------+-------+---------------------------------------------------------+
489
; Parameter Name ; Value ; Type                                                    ;
490
+----------------+-------+---------------------------------------------------------+
491
; width          ; 11    ; Signed Integer                                          ;
492
; size_e         ; 6     ; Signed Integer                                          ;
493
+----------------+-------+---------------------------------------------------------+
494
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
495
 
496
 
497
+----------------------------------------------------------------------------------------------------------+
498
; Parameter Settings for User Entity Instance: uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component ;
499
+-------------------------+-------------+------------------------------------------------------------------+
500
; Parameter Name          ; Value       ; Type                                                             ;
501
+-------------------------+-------------+------------------------------------------------------------------+
502
; AUTO_CARRY_CHAINS       ; ON          ; AUTO_CARRY                                                       ;
503
; IGNORE_CARRY_BUFFERS    ; OFF         ; IGNORE_CARRY                                                     ;
504
; AUTO_CASCADE_CHAINS     ; ON          ; AUTO_CASCADE                                                     ;
505
; IGNORE_CASCADE_BUFFERS  ; OFF         ; IGNORE_CASCADE                                                   ;
506
; lpm_width               ; 11          ; Signed Integer                                                   ;
507
; LPM_NUMWORDS            ; 64          ; Signed Integer                                                   ;
508
; LPM_WIDTHU              ; 6           ; Signed Integer                                                   ;
509
; LPM_SHOWAHEAD           ; ON          ; Untyped                                                          ;
510
; UNDERFLOW_CHECKING      ; ON          ; Untyped                                                          ;
511
; OVERFLOW_CHECKING       ; ON          ; Untyped                                                          ;
512
; ALLOW_RWCYCLE_WHEN_FULL ; OFF         ; Untyped                                                          ;
513
; ADD_RAM_OUTPUT_REGISTER ; OFF         ; Untyped                                                          ;
514
; ALMOST_FULL_VALUE       ; 0           ; Untyped                                                          ;
515
; ALMOST_EMPTY_VALUE      ; 0           ; Untyped                                                          ;
516
; USE_EAB                 ; ON          ; Untyped                                                          ;
517
; MAXIMIZE_SPEED          ; 5           ; Untyped                                                          ;
518
; DEVICE_FAMILY           ; Cyclone II  ; Untyped                                                          ;
519
; OPTIMIZE_FOR_SPEED      ; 5           ; Untyped                                                          ;
520
; CBXI_PARAMETER          ; scfifo_ko31 ; Untyped                                                          ;
521
+-------------------------+-------------+------------------------------------------------------------------+
522
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
523
 
524
 
525
+--------------------------------------------------------------------------------------------------------+
526
; Parameter Settings for User Entity Instance: uart_16750:inst|uart_receiver:UART_RX|slib_counter:RX_BRC ;
527
+----------------+-------+-------------------------------------------------------------------------------+
528
; Parameter Name ; Value ; Type                                                                          ;
529
+----------------+-------+-------------------------------------------------------------------------------+
530
; width          ; 4     ; Signed Integer                                                                ;
531
+----------------+-------+-------------------------------------------------------------------------------+
532
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
533
 
534
 
535
+----------------------------------------------------------------------------------------------------------+
536
; Parameter Settings for User Entity Instance: uart_16750:inst|uart_receiver:UART_RX|slib_mv_filter:RX_MVF ;
537
+----------------+-------+---------------------------------------------------------------------------------+
538
; Parameter Name ; Value ; Type                                                                            ;
539
+----------------+-------+---------------------------------------------------------------------------------+
540
; width          ; 4     ; Signed Integer                                                                  ;
541
; threshold      ; 10    ; Signed Integer                                                                  ;
542
+----------------+-------+---------------------------------------------------------------------------------+
543
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
544
 
545
 
546
+-------------------------------------------------------------------+
547
; Parameter Settings for User Entity Instance: slib_clock_div:inst2 ;
548
+----------------+-------+------------------------------------------+
549
; Parameter Name ; Value ; Type                                     ;
550
+----------------+-------+------------------------------------------+
551
; ratio          ; 18    ; Untyped                                  ;
552
+----------------+-------+------------------------------------------+
553
Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings Tables in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off".
554
 
555
 
556
+------------------------------------------------------------------------------------------+
557
; scfifo Parameter Settings by Entity Instance                                             ;
558
+----------------------------+-------------------------------------------------------------+
559
; Name                       ; Value                                                       ;
560
+----------------------------+-------------------------------------------------------------+
561
; Number of entity instances ; 2                                                           ;
562
; Entity Instance            ; uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component ;
563
;     -- FIFO Type           ; Single Clock                                                ;
564
;     -- lpm_width           ; 8                                                           ;
565
;     -- LPM_NUMWORDS        ; 64                                                          ;
566
;     -- LPM_SHOWAHEAD       ; ON                                                          ;
567
;     -- USE_EAB             ; ON                                                          ;
568
; Entity Instance            ; uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component ;
569
;     -- FIFO Type           ; Single Clock                                                ;
570
;     -- lpm_width           ; 11                                                          ;
571
;     -- LPM_NUMWORDS        ; 64                                                          ;
572
;     -- LPM_SHOWAHEAD       ; ON                                                          ;
573
;     -- USE_EAB             ; ON                                                          ;
574
+----------------------------+-------------------------------------------------------------+
575
 
576
 
577
+-------------------------------+
578
; Analysis & Synthesis Messages ;
579
+-------------------------------+
580
Info: *******************************************************************
581
Info: Running Quartus II Analysis & Synthesis
582
    Info: Version 8.0 Build 215 05/29/2008 SJ Full Version
583
    Info: Processing started: Tue Feb 17 23:02:25 2009
584
Info: Command: quartus_map --read_settings_files=on --write_settings_files=off UART16750 -c UART16750
585
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/uart_transmitter.vhd
586
    Info: Found design unit 1: uart_transmitter-rtl
587
    Info: Found entity 1: uart_transmitter
588
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_clock_div.vhd
589
    Info: Found design unit 1: slib_clock_div-rtl
590
    Info: Found entity 1: slib_clock_div
591
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_counter.vhd
592
    Info: Found design unit 1: slib_counter-rtl
593
    Info: Found entity 1: slib_counter
594
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_edge_detect.vhd
595
    Info: Found design unit 1: slib_edge_detect-rtl
596
    Info: Found entity 1: slib_edge_detect
597
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_fifo_cyclone2.vhd
598
    Info: Found design unit 1: slib_fifo-altera
599
    Info: Found entity 1: slib_fifo
600
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_input_filter.vhd
601
    Info: Found design unit 1: slib_input_filter-rtl
602
    Info: Found entity 1: slib_input_filter
603
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_input_sync.vhd
604
    Info: Found design unit 1: slib_input_sync-rtl
605
    Info: Found entity 1: slib_input_sync
606
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/slib_mv_filter.vhd
607
    Info: Found design unit 1: slib_mv_filter-rtl
608
    Info: Found entity 1: slib_mv_filter
609
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/uart_16750.vhd
610
    Info: Found design unit 1: uart_16750-rtl
611
    Info: Found entity 1: uart_16750
612
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/uart_baudgen.vhd
613
    Info: Found design unit 1: uart_baudgen-rtl
614
    Info: Found entity 1: uart_baudgen
615
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/uart_interrupt.vhd
616
    Info: Found design unit 1: uart_interrupt-rtl
617
    Info: Found entity 1: uart_interrupt
618
Info: Found 2 design units, including 1 entities, in source file ../../../rtl/vhdl/uart_receiver.vhd
619
    Info: Found design unit 1: uart_receiver-rtl
620
    Info: Found entity 1: uart_receiver
621
Info: Found 1 design units, including 1 entities, in source file UART16750.bdf
622
    Info: Found entity 1: UART16750
623
Info: Elaborating entity "UART16750" for the top level hierarchy
624
Info: Elaborating entity "uart_16750" for hierarchy "uart_16750:inst"
625
Info: Elaborating entity "slib_edge_detect" for hierarchy "uart_16750:inst|slib_edge_detect:UART_ED_WRITE"
626
Info: Elaborating entity "slib_input_sync" for hierarchy "uart_16750:inst|slib_input_sync:UART_IS_SIN"
627
Info: Elaborating entity "slib_input_filter" for hierarchy "uart_16750:inst|slib_input_filter:UART_IF_CTS"
628
Info: Elaborating entity "uart_interrupt" for hierarchy "uart_16750:inst|uart_interrupt:UART_IIC"
629
Info: Elaborating entity "uart_baudgen" for hierarchy "uart_16750:inst|uart_baudgen:UART_BG16"
630
Info: Elaborating entity "slib_clock_div" for hierarchy "uart_16750:inst|slib_clock_div:UART_BG2"
631
Info: Elaborating entity "slib_fifo" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF"
632
Info: Elaborating entity "scfifo" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component"
633
Info: Elaborated megafunction instantiation "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component"
634
Info: Instantiated megafunction "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component" with the following parameter:
635
    Info: Parameter "add_ram_output_register" = "OFF"
636
    Info: Parameter "intended_device_family" = "Cyclone II"
637
    Info: Parameter "lpm_numwords" = "64"
638
    Info: Parameter "lpm_showahead" = "ON"
639
    Info: Parameter "lpm_type" = "scfifo"
640
    Info: Parameter "lpm_width" = "8"
641
    Info: Parameter "lpm_widthu" = "6"
642
    Info: Parameter "overflow_checking" = "ON"
643
    Info: Parameter "underflow_checking" = "ON"
644
    Info: Parameter "use_eab" = "ON"
645
Info: Found 1 design units, including 1 entities, in source file db/scfifo_an31.tdf
646
    Info: Found entity 1: scfifo_an31
647
Info: Elaborating entity "scfifo_an31" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated"
648
Info: Found 1 design units, including 1 entities, in source file db/a_dpfifo_te31.tdf
649
    Info: Found entity 1: a_dpfifo_te31
650
Info: Elaborating entity "a_dpfifo_te31" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo"
651
Info: Found 1 design units, including 1 entities, in source file db/altsyncram_t681.tdf
652
    Info: Found entity 1: altsyncram_t681
653
Info: Elaborating entity "altsyncram_t681" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|altsyncram_t681:FIFOram"
654
Info: Found 1 design units, including 1 entities, in source file db/cntr_c5b.tdf
655
    Info: Found entity 1: cntr_c5b
656
Info: Elaborating entity "cntr_c5b" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_c5b:rd_ptr_msb"
657
Info: Found 1 design units, including 1 entities, in source file db/cntr_p57.tdf
658
    Info: Found entity 1: cntr_p57
659
Info: Elaborating entity "cntr_p57" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_p57:usedw_counter"
660
Info: Found 1 design units, including 1 entities, in source file db/cntr_d5b.tdf
661
    Info: Found entity 1: cntr_d5b
662
Info: Elaborating entity "cntr_d5b" for hierarchy "uart_16750:inst|slib_fifo:UART_TXFF|scfifo:scfifo_component|scfifo_an31:auto_generated|a_dpfifo_te31:dpfifo|cntr_d5b:wr_ptr"
663
Info: Elaborating entity "slib_fifo" for hierarchy "uart_16750:inst|slib_fifo:UART_RXFF"
664
Info: Elaborating entity "scfifo" for hierarchy "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component"
665
Info: Elaborated megafunction instantiation "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component"
666
Info: Instantiated megafunction "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component" with the following parameter:
667
    Info: Parameter "add_ram_output_register" = "OFF"
668
    Info: Parameter "intended_device_family" = "Cyclone II"
669
    Info: Parameter "lpm_numwords" = "64"
670
    Info: Parameter "lpm_showahead" = "ON"
671
    Info: Parameter "lpm_type" = "scfifo"
672
    Info: Parameter "lpm_width" = "11"
673
    Info: Parameter "lpm_widthu" = "6"
674
    Info: Parameter "overflow_checking" = "ON"
675
    Info: Parameter "underflow_checking" = "ON"
676
    Info: Parameter "use_eab" = "ON"
677
Info: Found 1 design units, including 1 entities, in source file db/scfifo_ko31.tdf
678
    Info: Found entity 1: scfifo_ko31
679
Info: Elaborating entity "scfifo_ko31" for hierarchy "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated"
680
Info: Found 1 design units, including 1 entities, in source file db/a_dpfifo_7g31.tdf
681
    Info: Found entity 1: a_dpfifo_7g31
682
Info: Elaborating entity "a_dpfifo_7g31" for hierarchy "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo"
683
Info: Found 1 design units, including 1 entities, in source file db/altsyncram_h981.tdf
684
    Info: Found entity 1: altsyncram_h981
685
Info: Elaborating entity "altsyncram_h981" for hierarchy "uart_16750:inst|slib_fifo:UART_RXFF|scfifo:scfifo_component|scfifo_ko31:auto_generated|a_dpfifo_7g31:dpfifo|altsyncram_h981:FIFOram"
686
Info: Elaborating entity "uart_transmitter" for hierarchy "uart_16750:inst|uart_transmitter:UART_TX"
687
Info: Elaborating entity "uart_receiver" for hierarchy "uart_16750:inst|uart_receiver:UART_RX"
688
Info: Elaborating entity "slib_counter" for hierarchy "uart_16750:inst|uart_receiver:UART_RX|slib_counter:RX_BRC"
689
Info: Elaborating entity "slib_mv_filter" for hierarchy "uart_16750:inst|uart_receiver:UART_RX|slib_mv_filter:RX_MVF"
690
Info: Elaborating entity "slib_clock_div" for hierarchy "slib_clock_div:inst2"
691
Info: State machine "|UART16750|uart_16750:inst|\UART_TXPROC:State" contains 4 states
692
Info: State machine "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState" contains 6 states
693
Info: State machine "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState" contains 13 states
694
Info: Selected Auto state machine encoding method for state machine "|UART16750|uart_16750:inst|\UART_TXPROC:State"
695
Info: Encoding result for state machine "|UART16750|uart_16750:inst|\UART_TXPROC:State"
696
    Info: Completed encoding using 4 state bits
697
        Info: Encoded state bit "uart_16750:inst|\UART_TXPROC:State.txend"
698
        Info: Encoded state bit "uart_16750:inst|\UART_TXPROC:State.txrun"
699
        Info: Encoded state bit "uart_16750:inst|\UART_TXPROC:State.txstart"
700
        Info: Encoded state bit "uart_16750:inst|\UART_TXPROC:State.idle"
701
    Info: State "|UART16750|uart_16750:inst|\UART_TXPROC:State.idle" uses code string "0000"
702
    Info: State "|UART16750|uart_16750:inst|\UART_TXPROC:State.txstart" uses code string "0011"
703
    Info: State "|UART16750|uart_16750:inst|\UART_TXPROC:State.txrun" uses code string "0101"
704
    Info: State "|UART16750|uart_16750:inst|\UART_TXPROC:State.txend" uses code string "1001"
705
Info: Selected Auto state machine encoding method for state machine "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState"
706
Info: Encoding result for state machine "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState"
707
    Info: Completed encoding using 6 state bits
708
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.mwait"
709
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.stop"
710
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.par"
711
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.data"
712
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.start"
713
        Info: Encoded state bit "uart_16750:inst|uart_receiver:UART_RX|CState.idle"
714
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.idle" uses code string "000000"
715
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.start" uses code string "000011"
716
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.data" uses code string "000101"
717
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.par" uses code string "001001"
718
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.stop" uses code string "010001"
719
    Info: State "|UART16750|uart_16750:inst|uart_receiver:UART_RX|CState.mwait" uses code string "100001"
720
Info: Selected Auto state machine encoding method for state machine "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState"
721
Info: Encoding result for state machine "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState"
722
    Info: Completed encoding using 13 state bits
723
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.stop2"
724
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.stop"
725
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.par"
726
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit7"
727
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit6"
728
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit5"
729
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit4"
730
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit3"
731
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit2"
732
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit1"
733
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.bit0"
734
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.start"
735
        Info: Encoded state bit "uart_16750:inst|uart_transmitter:UART_TX|CState.idle"
736
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.idle" uses code string "0000000000000"
737
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.start" uses code string "0000000000011"
738
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit0" uses code string "0000000000101"
739
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit1" uses code string "0000000001001"
740
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit2" uses code string "0000000010001"
741
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit3" uses code string "0000000100001"
742
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit4" uses code string "0000001000001"
743
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit5" uses code string "0000010000001"
744
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit6" uses code string "0000100000001"
745
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.bit7" uses code string "0001000000001"
746
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.par" uses code string "0010000000001"
747
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.stop" uses code string "0100000000001"
748
    Info: State "|UART16750|uart_16750:inst|uart_transmitter:UART_TX|CState.stop2" uses code string "1000000000001"
749
Info: Registers with preset signals will power-up high
750
Info: DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back
751
Info: Generated suppressed messages file R:/uart16750/syn/Altera/CycloneII/UART16750.map.smsg
752
Info: Implemented 584 device resources after synthesis - the final resource count might be different
753
    Info: Implemented 21 input pins
754
    Info: Implemented 15 output pins
755
    Info: Implemented 529 logic cells
756
    Info: Implemented 19 RAM segments
757
Info: Quartus II Analysis & Synthesis was successful. 0 errors, 0 warnings
758
    Info: Peak virtual memory: 182 megabytes
759
    Info: Processing ended: Tue Feb 17 23:02:31 2009
760
    Info: Elapsed time: 00:00:06
761
    Info: Total CPU time (on all processors): 00:00:06
762
 
763
 
764
+------------------------------------------+
765
; Analysis & Synthesis Suppressed Messages ;
766
+------------------------------------------+
767
The suppressed messages can be found in R:/uart16750/syn/Altera/CycloneII/UART16750.map.smsg.
768
 
769
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.