URL
                    https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk
                
             
            
            
Details |
Compare with Previous |
View Log
   
      
      | Line No. | 
      Rev | 
      Author | 
      Line | 
      
   
   
      
         | 1 | 
         3 | 
         HanySalah | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 2 | 
         2 | 
         HanySalah | 
         //
  | 
      
      
         | 3 | 
          | 
          | 
         //                             UART2BUS VERIFICATION
  | 
      
      
         | 4 | 
          | 
          | 
         //
  | 
      
      
         | 5 | 
         3 | 
         HanySalah | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 6 | 
         2 | 
         HanySalah | 
         // CREATOR    : HANY SALAH
  | 
      
      
         | 7 | 
          | 
          | 
         // PROJECT    : UART2BUS UVM TEST BENCH
  | 
      
      
         | 8 | 
          | 
          | 
         // UNIT       : ENVIRONEMNT
  | 
      
      
         | 9 | 
         3 | 
         HanySalah | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 10 | 
          | 
          | 
         // TITLE      : UART ENVIRONMENT PACKAGE
  | 
      
      
         | 11 | 
          | 
          | 
         // DESCRIPTION: THIS PACKAGE INCLUDE
  | 
      
      
         | 12 | 
          | 
          | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 13 | 
         2 | 
         HanySalah | 
         // LOG DETAILS
  | 
      
      
         | 14 | 
          | 
          | 
         //-------------
  | 
      
      
         | 15 | 
          | 
          | 
         // VERSION      NAME        DATE        DESCRIPTION
  | 
      
      
         | 16 | 
          | 
          | 
         //    1       HANY SALAH    10012016    FILE CREATION
  | 
      
      
         | 17 | 
         3 | 
         HanySalah | 
         //    2       HANY SALAH    24012016    ADD UART SCOREBOARD
  | 
      
      
         | 18 | 
          | 
          | 
         //    3       HANY SALAH    11022016    IMPROVE BLOCK DESCRIPTION & ADD COMMENTS
  | 
      
      
         | 19 | 
          | 
          | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 20 | 
          | 
          | 
         // ALL COPYRIGHTS ARE RESERVED FOR THE PRODUCER ONLY .THIS FILE IS PRODUCED FOR OPENCORES MEMBERS
  | 
      
      
         | 21 | 
          | 
          | 
         // ONLY AND IT IS PROHIBTED TO USE THIS MATERIAL WITHOUT THE CREATOR'S PERMISSION
  | 
      
      
         | 22 | 
          | 
          | 
         //-------------------------------------------------------------------------------------------------
  | 
      
      
         | 23 | 
         2 | 
         HanySalah | 
          
  | 
      
      
         | 24 | 
          | 
          | 
         package env_pkg;
  | 
      
      
         | 25 | 
          | 
          | 
          
  | 
      
      
         | 26 | 
          | 
          | 
           import agent_pkg::*;
  | 
      
      
         | 27 | 
          | 
          | 
           import uvm_pkg::*;
  | 
      
      
         | 28 | 
          | 
          | 
          
  | 
      
      
         | 29 | 
          | 
          | 
           `include "uvm_macros.svh"
  | 
      
      
         | 30 | 
          | 
          | 
          
  | 
      
      
         | 31 | 
          | 
          | 
          
  | 
      
      
         | 32 | 
          | 
          | 
           `include "uart_scoreboard.svh"
  | 
      
      
         | 33 | 
          | 
          | 
           `include "uart_env.svh"
  | 
      
      
         | 34 | 
          | 
          | 
          
  | 
      
      
         | 35 | 
          | 
          | 
         endpackage:env_pkg
  | 
      
   
 
 
         
                
        
            
            
        
        
             
    
        © copyright 1999-2025
OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.