1 |
16 |
HanySalah |
rm -rf work
|
2 |
17 |
HanySalah |
rm -rf *.log
|
3 |
|
|
rm -rf transcript
|
4 |
|
|
rm -rf vsim.wlf
|
5 |
|
|
rm -rf vsim_stacktrace.vstf
|
6 |
16 |
HanySalah |
vlib work
|
7 |
|
|
#------------------------------
|
8 |
|
|
# BFMs Compiling
|
9 |
|
|
#------------------------------
|
10 |
|
|
vlog uvm-1.2/src/uvm.sv +incdir+uvm_src/
|
11 |
|
|
#------------------------------
|
12 |
|
|
# BFMs Compiling
|
13 |
|
|
#------------------------------
|
14 |
|
|
vlog -novopt interfaces/uart_interface.sv +incdir+../
|
15 |
|
|
vlog -novopt interfaces/rf_interface.sv +incdir+../
|
16 |
|
|
vlog -novopt interfaces/uart_arbiter.sv +incdir+../
|
17 |
|
|
#-----------------------------
|
18 |
|
|
# Agent Compiling
|
19 |
|
|
#------------------------------
|
20 |
|
|
vlog -novopt agent/agent_pkg.sv +incdir+agent +incdir+agent/driver +incdir+./ +incdir+agent/configuration +incdir+agent/sequence +incdir+agent/transaction +incdir+agent/monitor +incdir+agent/coverage +incdir+uvm_src/ +define+UVM_1p2
|
21 |
|
|
#-----------------------------
|
22 |
|
|
# Environment & Scoreboard Compiling
|
23 |
|
|
#------------------------------
|
24 |
|
|
vlog -novopt env/env_pkg.sv +incdir+env +incdir+analysis +incdir+uvm_src/ +define+UVM_1p2
|
25 |
|
|
#-----------------------------
|
26 |
|
|
# UART TEST Compiling
|
27 |
|
|
#------------------------------
|
28 |
|
|
vlog -novopt uart_pkg.sv +incdir+test/ +incdir+agent/ +incdir+env/ +incdir+./ +incdir+../ +incdir+uvm_src/ +define+UVM_1p2
|
29 |
|
|
#-----------------------------
|
30 |
|
|
# UART DUT Compiling
|
31 |
|
|
#------------------------------
|
32 |
|
|
vlog ../rtl/uart_tx.v +incdir+../rtl
|
33 |
|
|
vlog ../rtl/uart_rx.v +incdir+../rtl
|
34 |
|
|
vlog ../rtl/baud_gen.v +incdir+../rtl
|
35 |
|
|
vlog ../rtl/uart_top.v +incdir+../rtl
|
36 |
|
|
vlog ../rtl/uart_parser.v +incdir+../rtl
|
37 |
|
|
vlog ../rtl/uart2bus_top.v +incdir+../rtl
|
38 |
|
|
#-----------------------------
|
39 |
|
|
# UART Top Testbench Compiling
|
40 |
|
|
#------------------------------
|
41 |
|
|
vlog -novopt uart_top.sv +incdir+../../rtl/i2c/ +incdir+./ +incdir+../rtl +incdir+uvm_src/ +define+UVM_1p2
|
42 |
|
|
#-----------------------------
|
43 |
|
|
# UART Top Testbench Simulation
|
44 |
|
|
#------------------------------
|
45 |
18 |
HanySalah |
#vsim -novopt +coverage -c uart_top_tb -sv_lib $UVM_HOME/lib/uvm_dpi64
|
46 |
|
|
vsim -novopt +coverage -c uart_top_tb -sv_lib $UVM_HOME/lib/uvm_dpi64 -do "run -a;"
|
47 |
16 |
HanySalah |
#run -all
|