OpenCores
URL https://opencores.org/ocsvn/uart6551/uart6551/trunk

Subversion Repositories uart6551

[/] [uart6551/] [trunk/] [trunk/] [rtl/] [pci32_config.sv] - Blame information for rev 11

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2023  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//
9
// BSD 3-Clause License
10
// Redistribution and use in source and binary forms, with or without
11
// modification, are permitted provided that the following conditions are met:
12
//
13
// 1. Redistributions of source code must retain the above copyright notice, this
14
//    list of conditions and the following disclaimer.
15
//
16
// 2. Redistributions in binary form must reproduce the above copyright notice,
17
//    this list of conditions and the following disclaimer in the documentation
18
//    and/or other materials provided with the distribution.
19
//
20
// 3. Neither the name of the copyright holder nor the names of its
21
//    contributors may be used to endorse or promote products derived from
22
//    this software without specific prior written permission.
23
//
24
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
25
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
26
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
27
// DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
28
// FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
29
// DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
30
// SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
31
// CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
32
// OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
33
// OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
34
//
35
// ============================================================================
36
//
37
 
38
module pci32_config(rst_i, clk_i, irq_i, irq_o, cs_config_i,
39
        we_i, sel_i, adr_i, dat_i, dat_o, bar0_o, bar1_o, bar2_o, irq_en_o);
40
input rst_i;
41
input clk_i;
42
input irq_i;
43
output reg [31:0] irq_o;
44
input cs_config_i;
45
input we_i;
46
input [3:0] sel_i;
47
input [31:0] adr_i;
48
input [31:0] dat_i;
49
output [31:0] dat_o;
50
output reg [31:0] bar0_o;
51
output reg [31:0] bar1_o;
52
output reg [31:0] bar2_o;
53
output reg irq_en_o;
54
 
55
parameter CFG_BUS = 8'd0;
56
parameter CFG_DEVICE = 5'd0;
57
parameter CFG_FUNC = 3'd0;
58
parameter CFG_VENDOR_ID =       16'h0;
59
parameter CFG_DEVICE_ID =       16'h0;
60
parameter CFG_SUBSYSTEM_VENDOR_ID       = 16'h0;
61
parameter CFG_SUBSYSTEM_ID = 16'h0;
62
parameter CFG_BAR0 = 32'h1;
63
parameter CFG_BAR1 = 32'h1;
64
parameter CFG_BAR2 = 32'h1;
65
parameter CFG_BAR0_ALLOC = 32'h0;
66
parameter CFG_BAR1_ALLOC = 32'h0;
67
parameter CFG_BAR2_ALLOC = 32'h0;
68
parameter CFG_ROM_ADDR = 32'hFFFFFFF0;
69
 
70
parameter CFG_REVISION_ID = 8'd0;
71
parameter CFG_PROGIF = 8'd1;
72
parameter CFG_SUBCLASS = 8'h80;                                 // 80 = Other
73
parameter CFG_CLASS = 8'h03;                                            // 03 = display controller
74
parameter CFG_CACHE_LINE_SIZE = 8'd8;           // 32-bit units
75
parameter CFG_MIN_GRANT = 8'h00;
76
parameter CFG_MAX_LATENCY = 8'h00;
77
parameter CFG_IRQ_LINE = 8'd16;
78
 
79
localparam CFG_HEADER_TYPE = 8'h00;                     // 00 = a general device
80
 
81
parameter MSIX = 1'b0;
82
 
83
integer n1;
84
reg [15:0] cmd_reg;
85
reg [15:0] cmdo_reg;
86
reg memory_space, io_space;
87
reg bus_master;
88
reg parity_err_resp;
89
reg serr_enable;
90
reg int_disable;
91
reg [7:0] latency_timer = 8'h00;
92
 
93
always_comb
94
begin
95
        cmdo_reg = cmd_reg;
96
        cmdo_reg[3] = 1'b0;                     // no special cycles
97
        cmdo_reg[4] = 1'b0;                     // memory write and invalidate supported
98
        cmdo_reg[5] = 1'b0;                     // VGA palette snoop
99
        cmdo_reg[7] = 1'b0;                     // reserved bit
100
        cmdo_reg[9] = 1'b1;                     // fast back-to-back enable
101
        cmdo_reg[15:11] = 5'd0; // reserved
102
end
103
 
104
reg [15:0] stat_reg;
105
reg [15:0] stato_reg;
106
always_comb
107
begin
108
        stato_reg = stat_reg;
109
        stato_reg[2:0] = 3'b0;  // reserved
110
        stato_reg[3] = 1'b0;            // interrupt status
111
        stato_reg[4] = 1'b0;            // capabilities list
112
        stato_reg[5] = 1'b1;            // 66 MHz enable (N/A)
113
        stato_reg[6] = 1'b0;            // reserved
114
        stato_reg[7] = 1'b1;            // fast back-to-back capable
115
        stato_reg[10:9] = 2'b01;        // medium DEVSEL timing
116
end
117
 
118
reg [31:0] cfg_dat [0:63];
119
reg [31:0] cfg_out;
120
reg [7:0] irq_line;
121
 
122
initial begin
123
        for (n1 = 0; n1 < 32; n1 = n1 + 1)
124
                cfg_dat[n1] = 'd0;
125
end
126
 
127
wire cs = cs_config_i &&
128
        adr_i[27:20]==CFG_BUS &&
129
        adr_i[19:15]==CFG_DEVICE &&
130
        adr_i[14:12]==CFG_FUNC;
131
 
132
always_ff @(posedge clk_i)
133
if (rst_i) begin
134
        bar0_o <= CFG_BAR0;
135
        bar1_o <= CFG_BAR1;
136
        bar2_o <= CFG_BAR2;
137
        cmd_reg <= 16'h4003;
138
        stat_reg <= 16'h0000;
139
        irq_line <= CFG_IRQ_LINE;
140
end
141
else begin
142
        io_space <= cmdo_reg[0];
143
        memory_space <= cmdo_reg[1];
144
        bus_master <= cmdo_reg[2];
145
        parity_err_resp <= cmdo_reg[6];
146
        serr_enable <= cmdo_reg[8];
147
        int_disable <= cmdo_reg[10];
148
        irq_en_o <= ~cmdo_reg[10];
149
 
150
        if (cs) begin
151
                if (we_i)
152
                        case(adr_i[7:2])
153
                        5'h02:
154
                                begin
155
                                        if (sel_i[0]) cmd_reg[7:0] <= dat_i[7:0];
156
                                        if (sel_i[1]) cmd_reg[15:8] <= dat_i[15:8];
157
                                        if (sel_i[3]) begin
158
                                                if (dat_i[8]) stat_reg[8] <= 1'b0;
159
                                                if (dat_i[11]) stat_reg[11] <= 1'b0;
160
                                                if (dat_i[12]) stat_reg[12] <= 1'b0;
161
                                                if (dat_i[13]) stat_reg[13] <= 1'b0;
162
                                                if (dat_i[14]) stat_reg[14] <= 1'b0;
163
                                                if (dat_i[15]) stat_reg[15] <= 1'b0;
164
                                        end
165
                                end
166
                        5'h04:
167
                                if (&sel_i[3:0] && dat_i[31:0]==32'hFFFFFFFF)
168
                                        bar0_o <= CFG_BAR0_ALLOC;
169
                                else begin
170
                                        if (sel_i[0])   bar0_o[7:0] <= dat_i[7:0];
171
                                        if (sel_i[1])   bar0_o[15:8] <= dat_i[15:8];
172
                                        if (sel_i[2])   bar0_o[23:16] <= dat_i[23:16];
173
                                        if (sel_i[3])   bar0_o[31:24] <= dat_i[31:24];
174
                                end
175
                        5'h05:
176
                                if (&sel_i[3:0] && dat_i[31:0]==32'hFFFFFFFF)
177
                                        bar1_o <= CFG_BAR1_ALLOC;
178
                                else begin
179
                                        if (sel_i[0])   bar1_o[7:0] <= dat_i[7:0];
180
                                        if (sel_i[1])   bar1_o[15:8] <= dat_i[15:8];
181
                                        if (sel_i[2])   bar1_o[23:16] <= dat_i[23:16];
182
                                        if (sel_i[3])   bar1_o[31:24] <= dat_i[31:24];
183
                                end
184
                        5'h06:
185
                                if (&sel_i[3:0] && dat_i[31:0]==32'hFFFFFFFF)
186
                                        bar2_o <= CFG_BAR2_ALLOC;
187
                                else begin
188
                                        if (sel_i[0])   bar2_o[7:0] <= dat_i[7:0];
189
                                        if (sel_i[1])   bar2_o[15:8] <= dat_i[15:8];
190
                                        if (sel_i[2])   bar2_o[23:16] <= dat_i[23:16];
191
                                        if (sel_i[3])   bar2_o[31:24] <= dat_i[31:24];
192
                                end
193
                        5'h0F:
194
                                if (sel_i[0]) irq_line <= dat_i[7:0];
195
                        default:
196
                                cfg_dat[adr_i[7:2]] <= dat_i;
197
                        endcase
198
                else
199
                        case(adr_i[7:3])
200
                        5'h00:  cfg_out <= {CFG_DEVICE_ID,CFG_VENDOR_ID};
201
                        5'h01:  cfg_out <= {stato_reg,cmdo_reg};
202
                        5'h02:  cfg_out <= {
203
                                CFG_CLASS,CFG_SUBCLASS,CFG_PROGIF,CFG_REVISION_ID};
204
                        5'h03:  cfg_out <= {8'h00,
205
                                CFG_HEADER_TYPE,latency_timer,CFG_CACHE_LINE_SIZE};
206
                        5'h04:  cfg_out <= bar0_o;
207
                        5'h05:  cfg_out <= bar1_o;
208
                        5'h06:  cfg_out <= bar2_o;
209
                        5'h07:  cfg_out <= 32'hFFFFFFFF;
210
                        5'h08:  cfg_out <= 32'hFFFFFFFF;
211
                        5'h09:  cfg_out <= 32'hFFFFFFFF;
212
                        5'h0A:  cfg_out <= 32'h0;
213
                        5'h0B:  cfg_out <= {CFG_SUBSYSTEM_ID,CFG_SUBSYSTEM_VENDOR_ID};
214
                        5'h0C:  cfg_out <= CFG_ROM_ADDR;
215
                        5'h0D:  cfg_out <= 32'h0;
216
                        5'h0E:  cfg_out <= 32'h0;
217
                        5'h0F:  cfg_out <= {8'd8,8'd0,8'd0,irq_line};
218
                        default:        cfg_out <= cfg_dat[adr_i[7:2]];
219
                        endcase
220
        end
221
end
222
 
223
always_comb
224
        irq_o = {31'd0,irq_i & ~int_disable} << irq_line;
225
 
226
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.