OpenCores
URL https://opencores.org/ocsvn/uart6551/uart6551/trunk

Subversion Repositories uart6551

[/] [uart6551/] [trunk/] [trunk/] [rtl/] [vtdl.sv] - Blame information for rev 13

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
// ============================================================================
2
//        __
3
//   \\__/ o\    (C) 2007-2019  Robert Finch, Waterloo
4
//    \  __ /    All rights reserved.
5
//     \/_//     robfinch@finitron.ca
6
//       ||
7
//
8
//      vtdl - variable tap delay line
9
//              (dynamic shift register)
10
//
11
// This source file is free software: you can redistribute it and/or modify
12
// it under the terms of the GNU Lesser General Public License as published
13
// by the Free Software Foundation, either version 3 of the License, or
14
// (at your option) any later version.
15
//
16
// This source file is distributed in the hope that it will be useful,
17
// but WITHOUT ANY WARRANTY; without even the implied warranty of
18
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
19
// GNU General Public License for more details.
20
//
21
// You should have received a copy of the GNU General Public License
22
// along with this program.  If not, see .
23
//
24
// ============================================================================
25
//
26
//    Notes:
27
//
28
//      This module acts like a clocked delay line with a variable tap.
29
//      Miscellaneous usage in rate control circuitry such as fifo's.
30
//      Capable of delaying a signal bus.
31
//      Signal bus width is specified with the WID parameter.
32
//
33
//      SystemVerilog
34
// =============================================================================
35
//
36
//`define SIM
37
 
38
module vtdl(clk, ce, a, d, q);
39
parameter WID = 8;
40
parameter DEP = 16;
41
localparam AMSB = $clog2(DEP)-1;
42
input clk;
43
input ce;
44
input [AMSB:0] a;
45
input [WID-1:0] d;
46
output [WID-1:0] q;
47
 
48
reg [WID-1:0] m [DEP-1:0];
49
integer n;
50
 
51
`ifdef SIM
52
initial begin
53
        for (n = 0; n < DEP; n = n + 1)
54
                m[n] = {WID{1'b0}};
55
end
56
`endif
57
 
58
always @(posedge clk)
59
        if (ce) begin
60
                for (n = 1; n < DEP; n = n + 1)
61
                        m[n] <= m[n-1];
62
                m[0] <= d;
63
        end
64
 
65
assign q = m[a];
66
 
67
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.