OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [rtl/] [UART.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redbear
/*
2
        Name:   Felipe Fernandes da Costa
3
 
4
*/
5
 
6
`timescale 1ns/1ns
7
 
8
module UART(
9
 
10
           input CLK,
11
           input RESET,
12
           input RX,
13
 
14
           input START,
15
           input [7:0] DATA_TX,
16
 
17
           input [11:0] WORK_FR,
18
 
19
           output TX,
20
           output [7:0] DATA_RX,
21
           output PARITY_RX,
22
 
23
           output READY_TX,
24
           output READY
25
 
26
          );
27
 
28
 
29
        uart_rx RX0 (
30
                        .PCLK(CLK),
31
                        .RESET(RESET),
32
                        .RX_I(RX),
33
                        .DATA_RX_O(DATA_RX),
34
                        .WORK_FR(WORK_FR),
35
                        .READY(READY),
36
                        .PARITY_RX(PARITY_RX)
37
                      );
38
 
39
 
40
        uart_tx TX0 (
41
                        .PCLK(CLK),
42
                        .RESET(RESET),
43
                        .START(START),
44
                        .WORK_FR(WORK_FR),
45
                        .DATA_TX_I(DATA_TX),
46
                        .READY_TX(READY_TX),
47
                        .TX_O(TX)
48
                    );
49
 
50
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.