OpenCores
URL https://opencores.org/ocsvn/uart8systemc/uart8systemc/trunk

Subversion Repositories uart8systemc

[/] [uart8systemc/] [trunk/] [systemC/] [link_sc.h] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 redbear
#ifndef CONTROL_SC_H
2
#define CONTROL_SC_H
3
 
4
class Control_SC
5
{
6
        public:
7
        /*Constructor*/
8
        Control_SC();
9
 
10
        /*initialize systemC model*/
11
        virtual void init();
12
 
13
        /*Reset the model*/
14
        virtual void reset_set_high();
15
        virtual void reset_set_low();
16
 
17
        /*This is used to configure clock on systemC model note here you must put period  T = 1/F*/
18
        virtual void set_period_clock_sc(unsigned value_freq);
19
 
20
        /*This must be used to set baud value on Env. Ex: 9600 / 50MHz"Only 50"*/
21
        virtual void set_baud_rate(unsigned int value_baud,unsigned frequency);
22
        /*Get the baud rate and set it to your DUT*/
23
        virtual int  get_baud_rate();
24
 
25
        /*We use functions to retreive values from RX / TX SytemC to Verilog*/
26
        virtual void write_rx(unsigned int a);
27
        virtual int read_tx();
28
 
29
        /*Run the Env for a mmount off time*/
30
        virtual void run_sim();
31
 
32
        /*Tell to SystemC to finish*/
33
        virtual void stop_sim();
34
 
35
};
36
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.